您尚未登录。

#201 2020-08-04 19:39:16

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

<br/>Xilinx First Stage Boot Loader <br/>Release 2015.4	Mar 29 2018-17:25:31<br/>Devcfg driver initialized <br/>Silicon Version 3.1<br/>Boot mode is NAND<br/>InitNand: Geometry = 0x8<br/>Nand driver initialized <br/>NAND Init Done <br/>Flash Base Address: 0xE1000000<br/>Reboot status register: 0x60400000<br/>Multiboot Register: 0x0000C000<br/>Image Start Address: 0x00000000<br/>Partition Header Offset:0x00000C80<br/>Partition Count: 3<br/>Partition Number: 1<br/>Header Dump<br/>Image Word Len: 0x0007F2E8<br/>Data Word Len: 0x0007F2E8<br/>Partition Word Len:0x0007F2E8<br/>Load Addr: 0x00000000<br/>Exec Addr: 0x00000000<br/>Partition Start: 0x000075D0<br/>Partition Attr: 0x00000020<br/>Partition Checksum Offset: 0x00000000<br/>Section Count: 0x00000001<br/>Checksum: 0xFFE7AF06<br/>Bitstream<br/>In FsblHookBeforeBitstreamDload function <br/>PCAP:StatusReg = 0x40000A30<br/>PCAP:device ready<br/>PCAP:Clear done<br/>Level Shifter Value = 0xA <br/>Devcfg Status register = 0x40000A30 <br/>PCAP:Fabric is Initialized done<br/>PCAP register dump:<br/>PCAP CTRL 0xF8007000: 0x4C00E07F<br/>PCAP LOCK 0xF8007004: 0x0000001A<br/>PCAP CONFIG 0xF8007008: 0x00000508<br/>PCAP ISR 0xF800700C: 0x0802000B<br/>PCAP IMR 0xF8007010: 0xFFFFFFFF<br/>PCAP STATUS 0xF8007014: 0x50000F30<br/>PCAP DMA SRC ADDR 0xF8007018: 0x00100001<br/>PCAP DMA DEST ADDR 0xF800701C: 0xFFFFFFFF<br/>PCAP DMA SRC LEN 0xF8007020: 0x0007F2E8<br/>PCAP DMA DEST LEN 0xF8007024: 0x0007F2E8<br/>PCAP ROM SHADOW CTRL 0xF8007028: 0xFFFFFFFF<br/>PCAP MBOOT 0xF800702C: 0x0000C000<br/>PCAP SW ID 0xF8007030: 0x00000000<br/>PCAP UNLOCK 0xF8007034: 0x757BDF0D<br/>PCAP MCTRL 0xF8007080: 0x30800100<br/><br/>DMA Done ! <br/>FPGA Done ! <br/>In FsblHookAfterBitstreamDload function <br/>Partition Number: 2<br/>Header Dump<br/>Image Word Len: 0x00015B20<br/>Data Word Len: 0x00015B20<br/>Partition Word Len:0x00015B20<br/>Load Addr: 0x04000000<br/>Exec Addr: 0x04000000<br/>Partition Start: 0x000868C0<br/>Partition Attr: 0x00000010<br/>Partition Checksum Offset: 0x00000000<br/>Section Count: 0x00000001<br/>Checksum: 0xF7F3836E<br/>Application<br/>fffff7<br/>Read: cnt = 0x00000010, addr = 0x00000040, val = 0xffffffef<br/>Read: cnt = 0x00000020, addr = 0x00000080, val = 0xffffffdf<br/>Read: cnt = 0x00000040, addr = 0x00000100, val = 0xffffffbf<br/>Read: cnt = 0x00000080, addr = 0x00000200, val = 0xffffff7f<br/>Read: cnt = 0x00000100, addr = 0x00000400, val = 0xfffffeff<br/>Read: cnt = 0x00000200, addr = 0x00000800, val = 0xfffffdff<br/>Read: cnt = 0x00000400, addr = 0x00001000, val = 0xfffffbff<br/>Read: cnt = 0x00000800, addr = 0x00002000, val = 0xfffff7ff<br/>Read: cnt = 0x00001000, addr = 0x00004000, val = 0xffffefff<br/>Read: cnt = 0x00002000, addr = 0x00008000, val = 0xffffdfff<br/>Read: cnt = 0x00004000, addr = 0x00010000, val = 0xffffbfff<br/>Read: cnt = 0x00008000, addr = 0x00020000, val = 0xffff7fff<br/>Read: cnt = 0x00010000, addr = 0x00040000, val = 0xfffeffff<br/>Read: cnt = 0x00020000, addr = 0x00080000, val = 0xfffdffff<br/>Read: cnt = 0x00040000, addr = 0x00100000, val = 0xfffbffff<br/>Read: cnt = 0x00080000, addr = 0x00200000, val = 0xfff7ffff<br/>Read: cnt = 0x00100000, addr = 0x00400000, val = 0xffefffff<br/>Read: cnt = 0x00200000, addr = 0x00800000, val = 0xffdfffff<br/>Read: cnt = 0x00400000, addr = 0x01000000, val = 0xffbfffff<br/>Read: cnt = 0x00800000, addr = 0x02000000, val = 0xff7fffff<br/>Read: cnt = 0x01000000, addr = 0x04000000, val = 0xfeffffff<br/>Read: cnt = 0x02000000, addr = 0x08000000, val = 0xfdffffff<br/>Read: cnt = 0x04000000, addr = 0x10000000, val = 0xfbffffff<br/>Read: cnt = 0x08000000, addr = 0x20000000, val = 0x00000000<br/>size = 0x20000000<br/>---1 sys_sdram_size = 0x20000000<br/>--- dram_init: sys_sdram_size = 512 MB<br/>---3 gd->ram_size = 0x1f000000<br/>Memory: ECC disabled<br/>DRAM:  496 MiB<br/>NAND:  256 MiB<br/>MMC:   zynq_sdhci: 0<br/>*** Warning - bad CRC, using default environment<br/>In:    serial<br/>Out:   serial<br/>Err:   serial<br/>~~~1 gd->ram_size = 0x1f000000<br/>~~~ board_late_init: ddr_size_type = 1<br/>--- gpio value = 0x000cc0ff<br/>--- mount angstrom file system<br/>bootargs: noinitrd mem=496M console=ttyPS0,115200 root=ubi0:rootfs ubi.mtd=1 rootfstype=ubifs rw rootwait<br/>--- upgrade marker upgrade_buf[0] = 0xff ---<br/>--- upgrade marker upgrade_buf[1] = 0xff ---<br/>--- upgrade marker upgrade_buf[2] = 0xff ---<br/>--- upgrade marker upgrade_buf[3] = 0xff ---<br/>--- upgrade marker upgrade_buf[4] = 0xff ---<br/>--- upgrade marker upgrade_buf[5] = 0xff ---<br/>--- upgrade marker upgrade_buf[6] = 0xff ---<br/>--- upgrade marker upgrade_buf[7] = 0xff ---<br/>--- upgrade marker upgrade_buf[8] = 0xff ---<br/>--- upgrade marker upgrade_buf[9] = 0xff ---<br/>--- upgrade marker upgrade_buf[10] = 0xff ---<br/>--- upgrade marker upgrade_buf[11] = 0xff ---<br/>--- upgrade marker upgrade_buf[12] = 0xff ---<br/>--- upgrade marker upgrade_buf[13] = 0xff ---<br/>--- upgrade marker upgrade_buf[14] = 0xff ---<br/>--- upgrade marker upgrade_buf[15] = 0xff ---<br/>bootargs: noinitrd mem=496M console=ttyPS0,115200 root=ubi0:rootfs ubi.mtd=1 rootfstype=ubifs rw rootwait<br/>Net:   Gem.e000b000<br/>Hit any key to stop autoboot:  3  2  1  0 <br/>Copying Linux from NAND flash to RAM...<br/>NAND read: device 0 offset 0x1100000, size 0x800000<br/> 8388608 bytes read: OK<br/>NAND read: device 0 offset 0x1020000, size 0x20000<br/> 131072 bytes read: OK<br/>## Booting kernel from Legacy Image at 02000000 ...<br/>   Image Name:   Linux-3.14.0-xilinx-ga36f3af-dir<br/>   Image Type:   ARM Linux Kernel Image (uncompressed)<br/>   Data Size:    3831240 Bytes = 3.7 MiB<br/>   Load Address: 00008000<br/>   Entry Point:  00008000<br/>   Verifying Checksum ... OK<br/>## Flattened Device Tree blob at 03000000<br/>   Booting using the fdt blob at 0x3000000<br/>   Loading Kernel Image ... OK<br/>   Loading Device Tree to 1eb39000, end 1eb3ddce ... OK<br/>Starting kernel ...<br/>Uncompressing Linux... done, booting the kernel.<br/>Hat, Inc.<br/>[    0.294452] msgmni has been set to 967<br/>[    0.295230] io scheduler noop registered<br/>[    0.295243] io scheduler deadline registered<br/>[    0.295285] io scheduler cfq registered (default)<br/>[    0.307083] dma-pl330 f8003000.ps7-dma: Loaded driver for PL330 DMAC-2364208<br/>[    0.307102] dma-pl330 f8003000.ps7-dma: 	DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16<br/>[    0.432430] e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 82, base_baud = 3124999) is a xuartps<br/>[    0.999998] console [ttyPS0] enabled<br/>[    1.004242] xdevcfg f8007000.ps7-dev-cfg: ioremap 0xf8007000 to df866000<br/>[    1.011865] [drm] Initialized drm 1.1.0 20060810<br/>[    1.028897] brd: module loaded<br/>[    1.038311] loop: module loaded<br/>[    1.048024] e1000e: Intel(R) PRO/1000 Network Driver - 2.3.2-k<br/>[    1.053771] e1000e: Copyright(c) 1999 - 2013 Intel Corporation.<br/>[    1.061673] libphy: XEMACPS mii bus: probed<br/>[    1.066046] ------------- phy_id = 0x3625e62<br/>[    1.070907] xemacps e000b000.ps7-ethernet: pdev->id -1, baseaddr 0xe000b000, irq 54<br/>[    1.079493] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver<br/>[    1.086136] ehci-pci: EHCI PCI platform driver<br/>[    1.093377] zynq-dr e0002000.ps7-usb: Unable to init USB phy, missing?<br/>[    1.100211] usbcore: registered new interface driver usb-storage<br/>[    1.107053] mousedev: PS/2 mouse device common for all mice<br/>[    1.113203] i2c /dev entries driver<br/>[    1.120173] zynq-edac f8006000.ps7-ddrc: ecc not enabled<br/>[    1.125650] cpufreq_cpu0: failed to get cpu0 regulator: -19<br/>[    1.131555] Xilinx Zynq CpuIdle Driver started<br/>[    1.136394] sdhci: Secure Digital Host Controller Interface driver<br/>[    1.142560] sdhci: Copyright(c) Pierre Ossman<br/>[    1.146830] sdhci-pltfm: SDHCI platform and OF driver helper<br/>[    1.153685] mmc0: no vqmmc regulator found<br/>[    1.157730] mmc0: no vmmc regulator found<br/>[    1.197423] mmc0: SDHCI controller on e0100000.ps7-sdio [e0100000.ps7-sdio] using ADMA<br/>[    1.206133] usbcore: registered new interface driver usbhid<br/>[    1.211642] usbhid: USB HID core driver<br/>[    1.216343] nand: device found, Manufacturer ID: 0x2c, Chip ID: 0xda<br/>[    1.222650] nand: Micron MT29F2G08ABAEAWP<br/>[    1.226611] nand: 256MiB, SLC, page size: 2048, OOB size: 64<br/>[    1.232554] Bad block table found at page 131008, version 0x01<br/>[    1.238782] Bad block table found at page 130944, version 0x01<br/>[    1.244838] 3 ofpart partitions found on MTD device pl353-nand<br/>[    1.250619] Creating 3 MTD partitions on "pl353-nand":<br/>[    1.255714] 0x000000000000-0x000002000000 : "BOOT.bin-env-dts-kernel"<br/>[    1.263756] 0x000002000000-0x00000b000000 : "angstram-rootfs"<br/>[    1.271066] 0x00000b000000-0x000010000000 : "upgrade-rootfs"<br/>[    1.279789] nf_conntrack version 0.5.0 (7739 buckets, 30956 max)<br/>[    1.286320] ip_tables: (C) 2000-2006 Netfilter Core Team<br/>[    1.291639] TCP: cubic registered<br/>[    1.294870] NET: Registered protocol family 17<br/>[    1.299598] Registering SWP/SWPB emulation handler<br/>[    1.305486] regulator-dummy: disabling<br/>[    1.309881] UBI: attaching mtd1 to ubi0<br/>[    1.838280] UBI: scanning is finished<br/>[    1.849919] UBI: attached mtd1 (name "angstram-rootfs", size 144 MiB) to ubi0<br/>[    1.856969] UBI: PEB size: 131072 bytes (128 KiB), LEB size: 126976 bytes<br/>[    1.863765] UBI: min./max. I/O unit sizes: 2048/2048, sub-page size 2048<br/>[    1.870441] UBI: VID header offset: 2048 (aligned 2048), data offset: 4096<br/>[    1.877279] UBI: good PEBs: 1152, bad PEBs: 0, corrupted PEBs: 0<br/>[    1.883289] UBI: user volume: 1, internal volumes: 1, max. volumes count: 128<br/>[    1.890400] UBI: max/mean erase counter: 2/1, WL threshold: 4096, image sequence number: 1810974339<br/>[    1.899430] UBI: available PEBs: 0, total reserved PEBs: 1152, PEBs reserved for bad PEB handling: 40<br/>[    1.908646] UBI: background thread "ubi_bgt0d" started, PID 1085<br/>[    1.908652] drivers/rtc/hctosys.c: unable to open rtc device (rtc0)<br/>[    1.912658] ALSA device list:<br/>[    1.912661]   No soundcards found.<br/>[    1.928977] UBIFS: background thread "ubifs_bgt0_0" started, PID 1087<br/>[    1.958036] UBIFS: recovery needed<br/>[    2.032519] UBIFS: recovery completed<br/>[    2.036182] UBIFS: mounted UBI device 0, volume 0, name "rootfs"<br/>[    2.042127] UBIFS: LEB size: 126976 bytes (124 KiB), min./max. I/O unit sizes: 2048 bytes/2048 bytes<br/>[    2.051233] UBIFS: FS size: 128626688 bytes (122 MiB, 1013 LEBs), journal size 9023488 bytes (8 MiB, 72 LEBs)<br/>[    2.061129] UBIFS: reserved for root: 0 bytes (0 KiB)<br/>[    2.066152] UBIFS: media format: w4/r0 (latest is w4/r0), UUID CD72815F-9A94-46DF-B863-9F8D20C2D637, small LPT model<br/>[    2.077479] VFS: Mounted root (ubifs filesystem) on device 0:11.<br/>[    2.084441] devtmpfs: mounted<br/>[    2.087574] Freeing unused kernel memory: 208K (c06e9000 - c071d000)<br/>INIT: version 2.88 booting<br/><br/>Starting Bootlog daemon: bootlogd.<br/><br/>Starting Monitor-ipsig daemon: monitor-ipsig.<br/><br/>[    2.923069] random: dd urandom read with 0 bits of entropy available<br/>Starting initc<br/><br/>dropbear<br/><br/>cp: can't stat '/etc/minermonitor.conf.factory': No such file or directory<br/><br/>cp: can't stat '/etc/dataformatconfig': No such file or directory<br/><br/>[    3.347428] <br/>[    3.347428] bcm54xx_config_init<br/>2: eth0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc pfifo_fast qlen 1000<br/><br/>    link/ether 00:0a:35:00:01:22 brd ff:ff:ff:ff:ff:ff<br/><br/>ECC failed: 0<br/><br/>ECC corrected: 0<br/><br/>Number of bad blocks: 0<br/><br/>Number of bbt blocks: 0<br/><br/>Block size 131072, page size 2048, OOB size 64<br/><br/>Dumping data starting at 0x01080000 and ending at 0x01080020...<br/><br/>ECC failed: 0<br/><br/>ECC corrected: 0<br/><br/>Number of bad blocks: 0<br/><br/>Number of bbt blocks: 0<br/><br/>Block size 131072, page size 2048, OOB size 64<br/><br/>Dumping data starting at 0x01080000 and ending at 0x01080020...<br/><br/>ECC failed: 0<br/><br/>ECC corrected: 0<br/><br/>Number of bad blocks: 0<br/><br/>Number of bbt blocks: 0<br/><br/>Block size 131072, page size 2048, OOB size 64<br/><br/>Dumping data starting at 0x01080000 and ending at 0x01080001...<br/><br/>ECC failed: 0<br/><br/>ECC corrected: 0<br/><br/>Number of bad blocks: 0<br/><br/>Number of bbt blocks: 0<br/><br/>Block size 131072, page size 2048, OOB size 64<br/><br/>Dumping data starting at 0x01060000 and ending at 0x01060020...<br/><br/>ECC failed: 0<br/><br/>ECC corrected: 0<br/><br/>Number of bad blocks: 0<br/><br/>Number of bbt blocks: 0<br/><br/>Block size 131072, page size 2048, OOB size 64<br/><br/>Dumping data starting at 0x01060000 and ending at 0x01060020...<br/><br/>ECC failed: 0<br/><br/>ECC corrected: 0<br/><br/>Number of bad blocks: 0<br/><br/>Number of bbt blocks: 0<br/><br/>Block size 131072, page size 2048, OOB size 64<br/><br/>Dumping data starting at 0x01060000 and ending at 0x01060001...<br/><br/>02:30:6f:af:c4:88<br/><br/>[    4.417461] <br/>[    4.417461] bcm54xx_config_init<br/>udhcpc (v1.21.1) started<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>Sending discover...<br/><br/>No lease, forking to background<br/><br/>Error resolving pool.ntp.org: No address associated with hostname (-5)<br/><br/> 1 Jan 00:00:35 ntpdate[1463]: Can't find host pool.ntp.org: No address associated with hostname (-5)<br/><br/> 1 Jan 00:00:35 ntpdate[1463]: no servers can be used, exiting<br/><br/>Starting ntpd: done<br/><br/>Starting Avahi Daemon: Daemon already running on PID 1474<br/><br/>avahi-daemon.<br/><br/>Starting Lighttpd Web Server: lighttpd.<br/><br/>Starting bmminer daemon: ping: sendto: Network is unreachable<br/><br/>192.168.1.1 is reachable<br/><br/>No bitmain_axi.ko<br/><br/>[   40.515123] In axi fpga driver!<br/>[   40.518232] request_mem_region OK!<br/>[   40.521586] AXI fpga dev virtual address is 0xdf9fc000<br/>[   40.526692] *base_vir_addr = 0xc51e<br/>memory_size = 495532<br/><br/>fpga_mem_offset_addr=0x1F000000<br/><br/>[   40.541698] In fpga mem driver!<br/>[   40.544764] request_mem_region OK!<br/>[   40.548385] fpga mem virtual address is 0xe2000000<br/>killall: bmminer: no process killed<br/><br/>killall: single-board-test: no process killed<br/><br/>bmminer.<br/><br/>Starting Monitor-recobtn daemon: monitor-recobtn.<br/><br/>INIT: Entering runlevel: 5<br/><br/><br/>Stopping Bootlog daemon: workdataPathPrefix:/etc/config/minertest64/minertest64_<br/>Read Config.ini<br/>Name:S9 HASH board<br/>DataCount:912<br/>PassCount1:912<br/>PassCount2:912<br/>PassCount3:912<br/>Freq:600<br/>Timeout:0<br/>OpenCoreGap:50000<br/>CheckTemp:0<br/>freq_e:0<br/>AsicNum:63<br/>TestMode:0<br/>CheckChain:1<br/>CommandMode:0<br/>ValidNonce1:57456<br/>ValidNonce2:57456<br/>ValidNonce3:57456<br/>Pic:1d<br/>IICPic:1d<br/>dac = 1d<br/>Voltage1:840d<br/>Voltage2:840d<br/>Voltage3:840d<br/>OpenCoreNum1 = 4294967295d = 0xffffffff<br/>OpenCoreNum2 = 4294967295d = 0xffffffff<br/>OpenCoreNum3 = 4294967295d = 0xffffffff<br/>OpenCoreNum4 = 262143d = 0x3ffff<br/>GetTempFrom:1<br/>TempSel:0<br/>TempSensor1:62<br/>TempSensor2:0<br/>TempSensor3:0<br/>TempSensor4:0<br/>DefaultTempOffset:-70<br/>StartTemp:0<br/>year:2016<br/>month:05<br/>date:18<br/>hour:10<br/>minute:36<br/>second:12<br/>parameter processed after Reading Config.ini<br/>DataCount:912<br/>PassCount1:912<br/>PassCount2:912<br/>PassCount3:912<br/>Freq:600<br/>Timeout:829<br/>OpenCoreGap:50000<br/>CheckTemp:0<br/>TestMode:0<br/>CommandMode:0<br/>ValidNonce1:57456<br/>ValidNonce2:57456<br/>ValidNonce3:57456<br/>Pic:1d<br/>IICPic:1d<br/>dac:1d<br/>Voltage1:840d<br/>Voltage2:840d<br/>Voltage3:840d<br/>OpenCoreNum1 = 4294967295d = 0xffffffff<br/>OpenCoreNum2 = 4294967295d = 0xffffffff<br/>OpenCoreNum3 = 4294967295d = 0xffffffff<br/>OpenCoreNum4 = 262143d = 0x3ffff<br/>GetTempFrom:1<br/>TempSel:0<br/>TempSensor1:62<br/>TempSensor2:0<br/>TempSensor3:0<br/>TempSensor4:0<br/>DefaultTempOffset:-70<br/>StartTemp:0<br/>year:2016<br/>month:05<br/>date:18<br/>hour:10<br/>minute:36<br/>second:12<br/>bootlogd.<br/>rm: can't remove '/config/temp_sensor': No such file or directory<br/><br/>.---O---.                                           <br/>|       |                  .-.           o o        <br/>|   |   |-----.-----.-----.| |   .----..-----.-----.<br/>|       |     | __  |  ---'| '--.|  .-'|     |     |<br/>|   |   |  |  |     |---  ||  --'|  |  |  '  | | | |<br/>'---'---'--'--'--.  |-----''----''--'  '-----'-'-'-'<br/>                -'  |<br/>                '---'<br/><br/>The Angstrom Distribution antMiner /dev/ttyPS0<br/><br/>Angstrom v2013.12 - Kernel 3.14.0-xilinx-ga36f3af-dirty<br/><br/>antMiner login: single board test start<br/>httpListenThread start ret=0<br/>clement2 init_fpga<br/>clement check_chain<br/>killall: bmminer: no process killed<br/> [1970-01-01 00:00:56.378] Miner compile time: Tue Jul 30 20:56:46 CST 2019 type: Antminer S9i rate: unknown                    <br/> [1970-01-01 00:00:56.379] Started bmminer 2.0.0                    <br/> [1970-01-01 00:00:56.379] Loaded configuration file /config/bmminer.conf                    <br/> [1970-01-01 00:00:56.402] Probing for an alive pool                    <br/> [1970-01-01 00:00:56.405] No Stratum, GBT or Solo support in pool 0  unable to use                    <br/> [1970-01-01 00:00:56.405] No Stratum, GBT or Solo support in pool 1  unable to use                    <br/> [1970-01-01 00:00:56.405] No Stratum, GBT or Solo support in pool 2  unable to use                    <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>     <br/>start listen on 6060 ...<br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>                <br/> [1970-01-01 00:01:26.406] No Stratum, GBT or Solo support in pool 2  unable to use                    <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/> [1970-01-01 00:01:56.406] No Stratum, GBT or Solo support in pool 1  unable to use                    <br/> [1970-01-01 00:01:56.406] No Stratum, GBT or Solo support in pool 2  unable to use                    <br/> [1970-01-01 00:01:56.406] No Stratum, GBT or Solo support in pool 0  unable to use                    <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>(5s):0.000 (1m):0.000 (5m):0.000 (15m):0.000 (avg):0.000h/s          <br/>

离线

#202 2020-08-04 19:52:16

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

上面是s9的打印信息,下面是上手20200430_154900_20200804-1952.jpg

离线

#203 2020-08-08 10:43:43

孙凌星
会员
注册时间: 2020-08-02
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:

搞了个FT232H的JTAG调试线
这是原作者的pcb/固件烧录等资料
https://whycan.cn/files/members/884/Xilinx_JTAG-SMT2.rar
这是我改小的SCH/PCB/BOM文件,用AD可以打开
https://whycan.cn/files/members/884/FT232H.7z
在vivado中成功识别
https://whycan.cn/files/members/884/TIM20190513110450.png
实物图 盗用群里大佬的图了
https://whycan.cn/files/members/884/TIM20190513110330.jpg
https://whycan.cn/files/members/884/TIM20190513110413.jpg
https://whycan.cn/files/members/884/TIM20190513110430.jpg
支持micro或者typec
232h封装支持lqfp或者qfn
配置芯片封装支持sop8或者sto23-6

大佬,请问可以说一下那些工具怎么用呢,EEPROM的还有CDM的,不知道用什么打开使用

离线

#204 2020-08-09 11:25:48

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

工具用我发的,硬件买ft232模块,淘宝搜30左右

离线

#205 2020-08-09 18:23:48

afsim
会员
注册时间: 2020-08-09
已发帖子: 11
积分: 11

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这里有个方法重置root密码,很方便
https://github.com/xjtuecho/EBAZ4205

离线

#206 2020-08-12 20:09:46

afsim
会员
注册时间: 2020-08-09
已发帖子: 11
积分: 11

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

收了一块S9,哈哈!进uboot后执行下面3条,就可以去清楚root密码了

nand read 0x100000 0x1100000 0x800000 && nand read 0x900000 0x1020000 0x20000
setenv bootargs 'noinitrd mem=496M console=ttyPS0,115200 root=ubi0:rootfs ubi.mtd=1 rootfstype=ubifs rw rootwait reboot=cold,hard emergency init=/bin/sh'
bootm 0x100000 - 0x900000 init=/bin/sh


发现没有SSH server,能不能把EBAZ4205的拷贝过来?

最近编辑记录 afsim (2020-08-12 20:11:49)

离线

#207 2020-08-13 13:37:45

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

s9如何进入uboot按什么也没用,附上完整启动信息s9.txt

离线

#208 2020-08-13 18:52:32

afsim
会员
注册时间: 2020-08-09
已发帖子: 11
积分: 11

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

rick lou 说:

s9如何进入uboot按什么也没用,附上完整启动信息s9.txt

可能串口有故障,试试用串口助手16进制发送“41 0D”

我有块EBAZ4205就是串口只能发送,收不到电脑的数据

观察下面计数变化时,收到数据没有

Hit any key to stop autoboot:  3 - 2-1-0

最近编辑记录 afsim (2020-08-13 19:11:17)

离线

#209 2020-08-16 16:06:42

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

离线

#210 2020-08-16 16:24:10

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

用ch341进去了

离线

#211 2020-08-16 16:27:07

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

谁能帮我tftp备份一下s9

离线

#212 2020-08-29 14:24:37

jcfcaonima
会员
注册时间: 2019-12-17
已发帖子: 69
积分: 69

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

刚发现EZBA4205的PL部分是有板载晶振的,时钟通过U18引脚接入。中间隔了一个R1485,R1485并没有焊接,补一个33欧姆的电阻上去。
2020082901
2020082901

最近编辑记录 jcfcaonima (2020-08-29 14:25:58)

离线

#213 2020-08-29 14:34:23

jcfcaonima
会员
注册时间: 2019-12-17
已发帖子: 69
积分: 69

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

按照这里面的方法改成JTAG启动模式,https://blog.csdn.net/Markus_xu/article/details/107752300
根据正点原子的指南,新建一个纯PL点灯工程。
1_启明星ZYNQ之FPGA开发指南_V1.1.1.pdf

module led(
    input sys_clk,
    output led
);

reg [25:0] cnt;
assign led = (cnt < 26'd2500_0000) ? 1'b1 : 1'b0;
always @ (posedge sys_clk) begin
    if(cnt < 26'd5000_0000)
        cnt <= cnt + 1'b1;
    else
        cnt <= 26'd0;
end
endmodule
#IO 管脚约束
set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS33} [get_ports sys_clk]
set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports led]

离线

#214 2020-08-29 14:37:56

jcfcaonima
会员
注册时间: 2019-12-17
已发帖子: 69
积分: 69

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

纯PL部分点灯成功

最近编辑记录 jcfcaonima (2020-08-29 14:45:03)

离线

#215 2020-08-29 14:52:54

jcfcaonima
会员
注册时间: 2019-12-17
已发帖子: 69
积分: 69

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

接下来,就可以用它来学习FPGA了。成本最低的FPGA开发板(20块钱)+成本最低的xilinx调试器(30.9块钱)。
2020082904

最近编辑记录 jcfcaonima (2020-08-29 14:56:15)

离线

#216 2020-10-30 11:00:48

狼狼
会员
注册时间: 2020-06-14
已发帖子: 76
积分: 115

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我遇到了一些问题,我是新手从这块矿卡开始学习ZYNQ的,Hello World工程调试的步骤进行的很顺利,但在固化进NAND的时候,程序卡死在“initialization done, programing the memory”,我试了两块板子都是这样,还有一块可以擦除,但校验的时候显示“Blank Check Operation unsuccessful. The part is not blank.”
请问是我的矿卡坏掉了吗?
QQ截图20201030105923.png

离线

楼主 #217 2020-10-31 00:22:22

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

狼狼 说:

我遇到了一些问题,我是新手从这块矿卡开始学习ZYNQ的,Hello World工程调试的步骤进行的很顺利,但在固化进NAND的时候,程序卡死在“initialization done, programing the memory”,我试了两块板子都是这样,还有一块可以擦除,但校验的时候显示“Blank Check Operation unsuccessful. The part is not blank.”
请问是我的矿卡坏掉了吗?
https://whycan.com/files/members/4483/QQ截图20201030105923.png

我感觉还是擦除没弄好吧?

离线

#218 2020-10-31 09:29:09

狼狼
会员
注册时间: 2020-06-14
已发帖子: 76
积分: 115

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
狼狼 说:

我遇到了一些问题,我是新手从这块矿卡开始学习ZYNQ的,Hello World工程调试的步骤进行的很顺利,但在固化进NAND的时候,程序卡死在“initialization done, programing the memory”,我试了两块板子都是这样,还有一块可以擦除,但校验的时候显示“Blank Check Operation unsuccessful. The part is not blank.”
请问是我的矿卡坏掉了吗?
https://whycan.com/files/members/4483/QQ截图20201030105923.png

我感觉还是擦除没弄好吧?

也许是和NAND通信不正常吧...SMC时序我对着手册和网上的经验贴看、改了几遍,按说应该是不存在问题的...吧。莫不成NAND有坏块导致校验失败?后面又换了块矿卡,卡死在“Flash programming initialization failed.”这个地方了。。。我太难了2333

离线

#219 2020-11-01 10:03:47

ttyant
会员
注册时间: 2020-11-01
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

jcfcaonima 说:

接下来,就可以用它来学习FPGA了。成本最低的FPGA开发板(20块钱)+成本最低的xilinx调试器(30.9块钱)。
https://whycan.cn/files/members/2675/2020082904.jpg

 调试器是个啥,有链接?

离线

楼主 #220 2020-11-01 11:09:07

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

ttyant 说:
jcfcaonima 说:

接下来,就可以用它来学习FPGA了。成本最低的FPGA开发板(20块钱)+成本最低的xilinx调试器(30.9块钱)。
https://whycan.cn/files/members/2675/2020082904.jpg

 调试器是个啥,有链接?

ft232h

离线

楼主 #221 2020-11-01 11:09:54

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

狼狼 说:
posystorage 说:
狼狼 说:

我遇到了一些问题,我是新手从这块矿卡开始学习ZYNQ的,Hello World工程调试的步骤进行的很顺利,但在固化进NAND的时候,程序卡死在“initialization done, programing the memory”,我试了两块板子都是这样,还有一块可以擦除,但校验的时候显示“Blank Check Operation unsuccessful. The part is not blank.”
请问是我的矿卡坏掉了吗?
https://whycan.com/files/members/4483/QQ截图20201030105923.png

我感觉还是擦除没弄好吧?

也许是和NAND通信不正常吧...SMC时序我对着手册和网上的经验贴看、改了几遍,按说应该是不存在问题的...吧。莫不成NAND有坏块导致校验失败?后面又换了块矿卡,卡死在“Flash programming initialization failed.”这个地方了。。。我太难了2333

slc的话 我觉得不会有坏块吧

离线

#222 2020-11-01 17:36:51

whyabc666
封禁
注册时间: 2019-12-12
已发帖子: 378
积分: 365

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

真是有意思啊,捡垃圾都检出个未来了!

离线

#223 2020-11-04 14:52:53

815794369
会员
注册时间: 2020-02-16
已发帖子: 9
积分: 22.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:

搞了个FT232H的JTAG调试线
这是原作者的pcb/固件烧录等资料
https://whycan.cn/files/members/884/Xilinx_JTAG-SMT2.rar
这是我改小的SCH/PCB/BOM文件,用AD可以打开
https://whycan.cn/files/members/884/FT232H.7z
在vivado中成功识别
https://whycan.cn/files/members/884/TIM20190513110450.png
实物图 盗用群里大佬的图了
https://whycan.cn/files/members/884/TIM20190513110330.jpg
https://whycan.cn/files/members/884/TIM20190513110413.jpg
https://whycan.cn/files/members/884/TIM20190513110430.jpg
支持micro或者typec
232h封装支持lqfp或者qfn
配置芯片封装支持sop8或者sto23-6

这个玩意可以替换Jlink?还是什么?

离线

楼主 #224 2020-11-04 16:11:07

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

815794369 说:
posystorage 说:

搞了个FT232H的JTAG调试线
这是原作者的pcb/固件烧录等资料
https://whycan.cn/files/members/884/Xilinx_JTAG-SMT2.rar
这是我改小的SCH/PCB/BOM文件,用AD可以打开
https://whycan.cn/files/members/884/FT232H.7z
在vivado中成功识别
https://whycan.cn/files/members/884/TIM20190513110450.png
实物图 盗用群里大佬的图了
https://whycan.cn/files/members/884/TIM20190513110330.jpg
https://whycan.cn/files/members/884/TIM20190513110413.jpg
https://whycan.cn/files/members/884/TIM20190513110430.jpg
支持micro或者typec
232h封装支持lqfp或者qfn
配置芯片封装支持sop8或者sto23-6

这个玩意可以替换Jlink?还是什么?

可以用vivado 通过这个调试zynq

离线

#225 2020-11-07 20:13:53

memoff
会员
注册时间: 2020-03-20
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

狼狼 说:

我遇到了一些问题,我是新手从这块矿卡开始学习ZYNQ的,Hello World工程调试的步骤进行的很顺利,但在固化进NAND的时候,程序卡死在“initialization done, programing the memory”,我试了两块板子都是这样,还有一块可以擦除,但校验的时候显示“Blank Check Operation unsuccessful. The part is not blank.”
请问是我的矿卡坏掉了吗?
https://whycan.com/files/members/4483/QQ截图20201030105923.png

VIVADO什么版本,已知2019.2版本有bug,nand烧写失败,可以试试2018.3或者2020.1亲测都可以
其次Nand烧写的时候要在JTAG模式

最近编辑记录 memoff (2020-11-07 20:14:58)

离线

#226 2020-11-08 13:29:49

狼狼
会员
注册时间: 2020-06-14
已发帖子: 76
积分: 115

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

memoff 说:
狼狼 说:

我遇到了一些问题,我是新手从这块矿卡开始学习ZYNQ的,Hello World工程调试的步骤进行的很顺利,但在固化进NAND的时候,程序卡死在“initialization done, programing the memory”,我试了两块板子都是这样,还有一块可以擦除,但校验的时候显示“Blank Check Operation unsuccessful. The part is not blank.”
请问是我的矿卡坏掉了吗?
https://whycan.com/files/members/4483/QQ截图20201030105923.png

VIVADO什么版本,已知2019.2版本有bug,nand烧写失败,可以试试2018.3或者2020.1亲测都可以
其次Nand烧写的时候要在JTAG模式

谢谢,我后来找到原因了,是我太粗心,启动电阻部分设置的有问题,导致NAND数据线电平异常。而且2018.3的FSBL中的uboot通过console输出信息帮了我不少忙。

离线

#227 2020-12-21 19:02:25

llinjupt
会员
注册时间: 2020-12-21
已发帖子: 92
积分: 177

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

你们都太给力了,注意去原系统密码一定要模拟nand,实际测试用使用 mtdram是不能成功的。

# modprobe jffs2
# modprobe mtdblock
# modprobe nandsim first_id_byte=0x20 second_id_byte=0xa2 third_id_byte=0x00 fourth_id_byte=0x15 # 64Mb,由于rootfs大小为64Mb,这里必须设置为64Mb,其他大小参考:http://linux-mtd.infradead.org/faq/nand.html

# mtdinfo /dev/mtd0
mtd0
Name:                     NAND simulator partition 0 # 确保模拟出的是nandflash
Type:                      nand
Eraseblock size:              131072 bytes, 128.0 KiB # 必须和FLASH芯片规格保持一致
Amount of eraseblocks:          512 (67108864 bytes, 64.0 MiB)
Minimum input/output unit size: 2048 bytes
Sub-page size:                  512 bytes
OOB size:                       64 bytes
Character device major/minor:   90:0
Bad blocks are allowed:         true
Device is writable:             true

破了原系统密码,就可以使用passwd设置新密码,这样ssh就可以进去了, 不用SD卡槽,不用串口,如果感兴趣可以改改原系统和硬件,自己生产矿机了,
原机web的登录名和密码都是admin和admin

离线

#228 2021-01-04 15:21:35

gener
会员
注册时间: 2021-01-04
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

https://whycan.cn/files/members/884/zynq.7z 能不能哪位大佬帮忙转到网盘一下?

离线

#229 2021-01-04 15:22:12

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

直接放这不就好了?

离线

#230 2021-01-04 15:26:47

gener
会员
注册时间: 2021-01-04
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

新手没法下载的唉

离线

#231 2021-01-04 15:27:33

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

gener 说:

新手没法下载的唉

发发帖子就可以下了。。。如果大家都白嫖,那晕哥的物业费就没着落了。。。

离线

#232 2021-01-05 10:09:13

zjsx133
会员
注册时间: 2018-07-23
已发帖子: 63
积分: 48

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这个在咸鱼还能捡漏么

离线

#233 2021-01-05 10:28:37

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

zjsx133 说:

这个在咸鱼还能捡漏么

还有很多,随便捡

离线

#234 2021-01-05 22:06:22

lignin
会员
注册时间: 2020-03-21
已发帖子: 99
积分: 97.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

pynq系统成功进入系统,但是才进入一会儿后系统就没反应了,这是怎么回事呢,就按照教程在群里下载的那些文件

离线

#235 2021-01-05 22:54:08

lignin
会员
注册时间: 2020-03-21
已发帖子: 99
积分: 97.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

[FAILED] Failed to start Raise network interfaces.
网络出现问题了,这个要怎么办呢


U-Boot 2019.01-07011-g5ff8217-dirty (Mar 31 2019 - 08:09:08 +0800)

CPU:   Zynq 7z010
Silicon: v3.1
Model: Zynq MicroZED Board
I2C:   ready
DRAM:  ECC disabled 256 MiB
Watchdog: Started
NAND:  128 MiB
MMC:   mmc@e0100000: 0
In:    serial@e0001000
Out:   serial@e0001000
Err:   serial@e0001000
Net:   ZYNQ GEM: e000b000, phyaddr 0, interface mii
PHY is not detected
GEM PHY init failed
eth-1: ethernet@e000b000ZYNQ GEM: e000c000, phyaddr 1, interface rmii
mdio_register: non unique device name 'eth0'
, eth-1: ethernet@e000c000
384 bytes read in 13 ms (28.3 KiB/s)
Importing environment from SD ...
Hit any key to stop autoboot:  0
!!!
!!! Booting cmd is deprecated (will be removed in 2020).
!!! Please move to distro bootcmd.
!!!
Device: mmc@e0100000
Manufacturer ID: fe
OEM: 3432
Name: SD16G
Bus Speed: 50000000
Mode : SD High Speed (50MHz)
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 29.1 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
384 bytes read in 10 ms (37.1 KiB/s)
Loaded environment from uEnv.txt
Importing environment from SD ...
Running uenvcmd ...
2083852 bytes read in 140 ms (14.2 MiB/s)
  design filename = "design_1_wrapper;UserID=0XFFFFFFFF;Version=2018.3"
  part number = "7z010clg400"
  date = "2019/03/31"
  time = "07:11:02"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100070 to fff80(swap 1)
4487928 bytes read in 273 ms (15.7 MiB/s)
14305 bytes read in 21 ms (665 KiB/s)
## Booting kernel from Legacy Image at 02080000 ...
   Image Name:   Linux-4.4.30-xillinux-2.0
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    4487864 Bytes = 4.3 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Device Tree to 0eb10000, end 0eb167e0 ... OK

Starting kernel ...

Uncompressing Linux... done, booting the kernel.
[    0.000000] Booting Linux on physical CPU 0x0
[    0.000000] Initializing cgroup subsys cpuset
[    0.000000] Initializing cgroup subsys cpu
[    0.000000] Initializing cgroup subsys cpuacct
[    0.000000] Linux version 4.4.30-xillinux-2.0 (eli@ocho.localdomain) (gcc version 4.7.3 (Sourcery CodeBench Lite 2013.05-40) ) #1 SMP PREEMPT Tue Dec 5 11:54:25 IST 2017 ()
[    0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[    0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[    0.000000] Machine model: Zynq ebit board
[    0.000000] bootconsole [earlycon0] enabled
[    0.000000] Booting Linux on physical CPU 0x0
[    0.000000] Initializing cgroup subsys cpuset
[    0.000000] Initializing cgroup subsys cpu
[    0.000000] Initializing cgroup subsys cpuacct
[    0.000000] Linux version 4.4.30-xillinux-2.0 (eli@ocho.localdomain) (gcc version 4.7.3 (Sourcery CodeBench Lite 2013.05-40) ) #1 SMP PREEMPT Tue Dec 5 11:54:25 IST 2017 ()
[    0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[    0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[    0.000000] Machine model: Zynq ebit board
[    0.000000] bootconsole [earlycon0] enabled
[    0.000000] bootconsole [uart0] enabled
[    0.000000] bootconsole [uart0] enabled
[    0.000000] cma: Reserved 16 MiB at 0x0f000000
[    0.000000] cma: Reserved 16 MiB at 0x0f000000
[    0.000000] Memory policy: Data cache writealloc
[    0.000000] Memory policy: Data cache writealloc
[    0.000000] On node 0 totalpages: 65536
[    0.000000] On node 0 totalpages: 65536
[    0.000000] free_area_init_node: node 0, pgdat c08c6880, node_mem_map cedaf000
[    0.000000] free_area_init_node: node 0, pgdat c08c6880, node_mem_map cedaf000
[    0.000000]   Normal zone: 576 pages used for memmap
[    0.000000]   Normal zone: 576 pages used for memmap
[    0.000000]   Normal zone: 0 pages reserved
[    0.000000]   Normal zone: 0 pages reserved
[    0.000000]   Normal zone: 65536 pages, LIFO batch:15
[    0.000000]   Normal zone: 65536 pages, LIFO batch:15
[    0.000000] PERCPU: Embedded 12 pages/cpu @ced8b000 s18880 r8192 d22080 u49152
[    0.000000] PERCPU: Embedded 12 pages/cpu @ced8b000 s18880 r8192 d22080 u49152
[    0.000000] pcpu-alloc: s18880 r8192 d22080 u49152 alloc=12*4096[    0.000000] pcpu-alloc: s18880 r8192 d22080 u49152 alloc=12*4096

[    0.000000] pcpu-alloc: [    0.000000] pcpu-alloc: [0] [0] 0 0 [0] [0] 1 1

[    0.000000] Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 64960
[    0.000000] Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 64960
[    0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
[    0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
[    0.000000] PID hash table entries: 1024 (order: 0, 4096 bytes)
[    0.000000] PID hash table entries: 1024 (order: 0, 4096 bytes)
[    0.000000] Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
[    0.000000] Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
[    0.000000] Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
[    0.000000] Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
[    0.000000] Memory: 233572K/262144K available (6155K kernel code, 294K rwdata, 2192K rodata, 312K init, 472K bss, 12188K reserved, 16384K cma-reserved, 0K highmem)
[    0.000000] Memory: 233572K/262144K available (6155K kernel code, 294K rwdata, 2192K rodata, 312K init, 472K bss, 12188K reserved, 16384K cma-reserved, 0K highmem)
[    0.000000] Virtual kernel memory layout:
[    0.000000]     vector  : 0xffff0000 - 0xffff1000   (   4 kB)
[    0.000000]     fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
[    0.000000]     vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
[    0.000000]     lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
[    0.000000]     pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
[    0.000000]     modules : 0xbf000000 - 0xbfe00000   (  14 MB)
[    0.000000]       .text : 0xc0008000 - 0xc082f0c4   (8349 kB)
[    0.000000]       .init : 0xc0830000 - 0xc087e000   ( 312 kB)
[    0.000000]       .data : 0xc087e000 - 0xc08c7840   ( 295 kB)
[    0.000000]        .bss : 0xc08c7840 - 0xc093da38   ( 473 kB)
[    0.000000] Virtual kernel memory layout:
[    0.000000]     vector  : 0xffff0000 - 0xffff1000   (   4 kB)
[    0.000000]     fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
[    0.000000]     vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
[    0.000000]     lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
[    0.000000]     pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
[    0.000000]     modules : 0xbf000000 - 0xbfe00000   (  14 MB)
[    0.000000]       .text : 0xc0008000 - 0xc082f0c4   (8349 kB)
[    0.000000]       .init : 0xc0830000 - 0xc087e000   ( 312 kB)
[    0.000000]       .data : 0xc087e000 - 0xc08c7840   ( 295 kB)
[    0.000000]        .bss : 0xc08c7840 - 0xc093da38   ( 473 kB)
[    0.000000] Preemptible hierarchical RCU implementation.
[    0.000000] Preemptible hierarchical RCU implementation.
[    0.000000]  Build-time adjustment of leaf fanout to 32.
[    0.000000]  Build-time adjustment of leaf fanout to 32.
[    0.000000]  RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[    0.000000]  RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
[    0.000000] NR_IRQS:16 nr_irqs:16 16
[    0.000000] NR_IRQS:16 nr_irqs:16 16
[    0.000000] slcr mapped to d0800000
[    0.000000] slcr mapped to d0800000
[    0.000000] L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C-310 erratum[    0.000000] L2C-310 erratum 769419 769419 enabled
 enabled
[    0.000000] L2C-310 enabling early BRESP for Cortex-A9
[    0.000000] L2C-310 enabling early BRESP for Cortex-A9
[    0.000000] L2C-310 full line of zeros enabled for Cortex-A9
[    0.000000] L2C-310 full line of zeros enabled for Cortex-A9
[    0.000000] L2C-310 ID prefetch enabled, offset 1 lines
[    0.000000] L2C-310 ID prefetch enabled, offset 1 lines
[    0.000000] L2C-310 dynamic clock gating enabled, standby mode enabled
[    0.000000] L2C-310 dynamic clock gating enabled, standby mode enabled
[    0.000000] L2C-310 cache controller enabled, 8 ways, 512 kB
[    0.000000] L2C-310 cache controller enabled, 8 ways, 512 kB
[    0.000000] L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
[    0.000000] L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
[    0.000000] zynq_clock_init: clkc starts at d0800100
[    0.000000] zynq_clock_init: clkc starts at d0800100
[    0.000000] Zynq clock init
[    0.000000] Zynq clock init
[    0.000011] sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
[    0.000011] sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
[    0.015865] clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
[    0.015865] clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
[    0.038011] clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
[    0.038011] clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
[    0.056183] timer #0 at d0808000, irq=17
[    0.056183] timer #0 at d0808000, irq=17
[    0.064441] Console: colour dummy device 80x30
[    0.064441] Console: colour dummy device 80x30
[    0.073204] Calibrating delay loop... [    0.073204] Calibrating delay loop... 1332.01 BogoMIPS (lpj=6660096)
1332.01 BogoMIPS (lpj=6660096)
[    0.169626] pid_max: default: 32768 minimum: 301
[    0.169626] pid_max: default: 32768 minimum: 301
[    0.178997] Security Framework initialized
[    0.178997] Security Framework initialized
[    0.187111] Yama: becoming mindful.
[    0.187111] Yama: becoming mindful.
[    0.194177] AppArmor: AppArmor initialized
[    0.194177] AppArmor: AppArmor initialized
[    0.202365] Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.202365] Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.215575] Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.215575] Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.230393] Initializing cgroup subsys io
[    0.230393] Initializing cgroup subsys io
[    0.238310] Initializing cgroup subsys memory
[    0.238310] Initializing cgroup subsys memory
[    0.247057] Initializing cgroup subsys devices
[    0.247057] Initializing cgroup subsys devices
[    0.255950] Initializing cgroup subsys freezer
[    0.255950] Initializing cgroup subsys freezer
[    0.264854] Initializing cgroup subsys net_cls
[    0.264854] Initializing cgroup subsys net_cls
[    0.273751] Initializing cgroup subsys perf_event
[    0.273751] Initializing cgroup subsys perf_event
[    0.283191] Initializing cgroup subsys net_prio
[    0.283191] Initializing cgroup subsys net_prio
[    0.292272] Initializing cgroup subsys pids
[    0.292272] Initializing cgroup subsys pids
[    0.300693] CPU: Testing write buffer coherency: [    0.300693] CPU: Testing write buffer coherency: ok
ok
[    0.310657] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[    0.310657] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[    0.321901] Setting up static identity map for 0x82c0 - 0x82f4
[    0.321901] Setting up static identity map for 0x82c0 - 0x82f4
[    0.504160] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[    0.504160] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[    0.504263] Brought up 2 CPUs
[    0.504263] Brought up 2 CPUs
[    0.521416] SMP: Total of 2 processors activated (2664.03 BogoMIPS).
[    0.521416] SMP: Total of 2 processors activated (2664.03 BogoMIPS).
[    0.534139] CPU: All CPU(s) started in SVC mode.
[    0.534139] CPU: All CPU(s) started in SVC mode.
[    0.544468] devtmpfs: initialized
[    0.544468] devtmpfs: initialized
[    0.555046] evm: security.selinux
[    0.555046] evm: security.selinux
[    0.561556] evm: security.SMACK64
[    0.561556] evm: security.SMACK64
[    0.568244] evm: security.SMACK64EXEC
[    0.568244] evm: security.SMACK64EXEC
[    0.575595] evm: security.SMACK64TRANSMUTE
[    0.575595] evm: security.SMACK64TRANSMUTE
[    0.583786] evm: security.SMACK64MMAP
[    0.583786] evm: security.SMACK64MMAP
[    0.591153] evm: security.ima
[    0.591153] evm: security.ima
[    0.597119] evm: security.capability
[    0.597119] evm: security.capability
[    0.604732] VFP support v0.3: [    0.604732] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
implementor 41 architecture 3 part 30 variant 9 rev 4
[    0.620199] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
[    0.620199] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
[    0.640901] pinctrl core: initialized pinctrl subsystem
[    0.640901] pinctrl core: initialized pinctrl subsystem
[    0.652783] NET: Registered protocol family 16
[    0.652783] NET: Registered protocol family 16
[    0.663664] DMA: preallocated 256 KiB pool for atomic coherent allocations
[    0.663664] DMA: preallocated 256 KiB pool for atomic coherent allocations
[    0.689297] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[    0.689297] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[    0.705203] hw-breakpoint: maximum watchpoint size is 4 bytes.
[    0.705203] hw-breakpoint: maximum watchpoint size is 4 bytes.
[    0.717002] zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0880000
[    0.717002] zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0880000
[    0.730550] zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
[    0.730550] zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
[    0.787490] GPIO IRQ not connected
[    0.787490] GPIO IRQ not connected
[    0.794237] XGpio: /amba_pl/gpio@41200000: registered, base is 904
[    0.794237] XGpio: /amba_pl/gpio@41200000: registered, base is 904
[    0.806867] GPIO IRQ not connected
[    0.806867] GPIO IRQ not connected
[    0.813550] XGpio: /amba_pl/gpio@41210000: registered, base is 899
[    0.813550] XGpio: /amba_pl/gpio@41210000: registered, base is 899
[    0.827036] vgaarb: loaded
[    0.827036] vgaarb: loaded
[    0.835077] SCSI subsystem initialized
[    0.835077] SCSI subsystem initialized
[    0.842941] usbcore: registered new interface driver usbfs
[    0.842941] usbcore: registered new interface driver usbfs
[    0.853897] usbcore: registered new interface driver hub
[    0.853897] usbcore: registered new interface driver hub
[    0.864538] usbcore: registered new device driver usb
[    0.864538] usbcore: registered new device driver usb
[    0.874886] media: Linux media interface: v0.10
[    0.874886] media: Linux media interface: v0.10
[    0.883879] Linux video capture interface: v2.00
[    0.883879] Linux video capture interface: v2.00
[    0.893260] pps_core: LinuxPPS API ver. 1 registered
[    0.893260] pps_core: LinuxPPS API ver. 1 registered
[    0.903084] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    0.903084] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    0.921358] PTP clock support registered
[    0.921358] PTP clock support registered
[    0.929539] EDAC MC: Ver: 3.0.0
[    0.929539] EDAC MC: Ver: 3.0.0
[    0.955065] NetLabel: Initializing
[    0.955065] NetLabel: Initializing
[    0.961749] NetLabel:  domain hash size = 128
[    0.961749] NetLabel:  domain hash size = 128
[    0.970521] NetLabel:  protocols = UNLABELED CIPSOv4
[    0.970521] NetLabel:  protocols = UNLABELED CIPSOv4
[    0.980508] NetLabel:  unlabeled traffic allowed by default
[    0.980508] NetLabel:  unlabeled traffic allowed by default
[    0.992011] clocksource: Switched to clocksource arm_global_timer
[    0.992011] clocksource: Switched to clocksource arm_global_timer
[    1.004817] AppArmor: AppArmor Filesystem Enabled
[    1.004817] AppArmor: AppArmor Filesystem Enabled
[    1.027279] NET: Registered protocol family 2
[    1.027279] NET: Registered protocol family 2
[    1.036770] TCP established hash table entries: 2048 (order: 1, 8192 bytes)
[    1.036770] TCP established hash table entries: 2048 (order: 1, 8192 bytes)
[    1.050611] TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
[    1.050611] TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
[    1.063502] TCP: Hash tables configured (established 2048 bind 2048)
[    1.063502] TCP: Hash tables configured (established 2048 bind 2048)
[    1.076460] UDP hash table entries: 256 (order: 1, 8192 bytes)
[    1.076460] UDP hash table entries: 256 (order: 1, 8192 bytes)
[    1.088041] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[    1.088041] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[    1.100807] NET: Registered protocol family 1
[    1.100807] NET: Registered protocol family 1
[    1.109824] RPC: Registered named UNIX socket transport module.
[    1.109824] RPC: Registered named UNIX socket transport module.
[    1.121560] RPC: Registered udp transport module.
[    1.121560] RPC: Registered udp transport module.
[    1.130980] RPC: Registered tcp transport module.
[    1.130980] RPC: Registered tcp transport module.
[    1.140403] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    1.140403] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    1.153332] PCI: CLS 0 bytes, default 64
[    1.153332] PCI: CLS 0 bytes, default 64
[    1.161811] hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
[    1.161811] hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
[    1.179314] futex hash table entries: 512 (order: 3, 32768 bytes)
[    1.179314] futex hash table entries: 512 (order: 3, 32768 bytes)
[    1.191513] audit: initializing netlink subsys (disabled)
[    1.191513] audit: initializing netlink subsys (disabled)
[    1.202261] audit: type=2000 audit(1.069:1): initialized
[    1.202261] audit: type=2000 audit(1.069:1): initialized
[    1.213338] Initialise system trusted keyring
[    1.213338] Initialise system trusted keyring
[    1.222654] VFS: Disk quotas dquot_6.6.0
[    1.222654] VFS: Disk quotas dquot_6.6.0
[    1.230445] VFS: Dquot-cache hash table entries: 1024 (order 0, 4096 bytes)
[    1.230445] VFS: Dquot-cache hash table entries: 1024 (order 0, 4096 bytes)
[    1.244773] squashfs: version 4.0 (2009/01/31) Phillip Lougher
[    1.244773] squashfs: version 4.0 (2009/01/31) Phillip Lougher
[    1.257135] NFS: Registering the id_resolver key type
[    1.257135] NFS: Registering the id_resolver key type
[    1.267156] Key type id_resolver registered
[    1.267156] Key type id_resolver registered
[    1.275521] Key type id_legacy registered
[    1.275521] Key type id_legacy registered
[    1.283633] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    1.283633] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    1.297056] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    1.297056] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    1.311515] Allocating IMA MOK and blacklist keyrings.
[    1.311515] Allocating IMA MOK and blacklist keyrings.
[    1.323393] Key type asymmetric registered
[    1.323393] Key type asymmetric registered
[    1.331469] Asymmetric key parser 'x509' registered
[    1.331469] Asymmetric key parser 'x509' registered
[    1.341390] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 248)
[    1.341390] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 248)
[    1.356200] io scheduler noop registered
[    1.356200] io scheduler noop registered
[    1.363954] io scheduler deadline registered (default)
[    1.363954] io scheduler deadline registered (default)
[    1.374269] io scheduler cfq registered
[    1.374269] io scheduler cfq registered
[    1.393896] e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 145, base_baud = 6249999) is a xuartps
[    1.393896] e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 145, base_baud = 6249999) is a xuartps
[    1.412428] e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 146, base_baud = 6249999) is a xuartps
[    1.412428] e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 146, base_baud ▒[    1.430706] console [ttyPS0] enabled
[    1.430706] console [ttyPS0] enabled
[    1.430706] console [ttyPS0] enabled
[    1.441378] bootconsole [earlycon0] disabled
[    1.441378] bootconsole [earlycon0] disabled
[    1.441378] bootconsole [earlycon0] disabled
[    1.454227] bootconsole [uart0] disabled
[    1.454227] bootconsole [uart0] disabled
[    1.462932] xdevcfg f8007000.devcfg: ioremap 0xf8007000 to d0852000
[    1.485167] brd: module loaded
[    1.495591] loop: module loaded
[    1.517212] libphy: Fixed MDIO Bus: probed
[    1.521835] macb e000b000.ethernet: invalid hw address, using random
[    1.529455] libphy: MACB_mii_bus: probed
[    1.535271] macb e000b000.ethernet eth0: no PHY found
[    1.562379] macb e000c000.ethernet: invalid hw address, using random
[    1.569476] libphy: MACB_mii_bus: probed
[    1.652167] macb e000c000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000c000 irq 151 (1a:df:a3:66:a0:e2)
[    1.662048] macb e000c000.ethernet eth0: attached PHY driver [Generic PHY] (mii_bus:phy_addr=e000c000.etherne:01, irq=-1)
[    1.674275] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[    1.680723] ehci-pci: EHCI PCI platform driver
[    1.685246] ehci-platform: EHCI generic platform driver
[    1.690597] ohci_hcd: USB 1.1 'Open' Host Controller (OHCI) Driver
[    1.696743] ohci-pci: OHCI PCI platform driver
[    1.701195] ohci-platform: OHCI generic platform driver
[    1.706533] uhci_hcd: USB Universal Host Controller Interface driver
[    1.713030] usbcore: registered new interface driver usb-storage
[    1.719416] mousedev: PS/2 mouse device common for all mice
[    1.735523] i2c /dev entries driver
[    1.740154] device-mapper: uevent: version 1.0.3
[    1.744999] device-mapper: ioctl: 4.34.0-ioctl (2015-10-28) initialised: dm-devel@redhat.com
[    1.753455] sdhci: Secure Digital Host Controller Interface driver
[    1.759547] sdhci: Copyright(c) Pierre Ossman
[    1.763926] sdhci-pltfm: SDHCI platform and OF driver helper
[    1.769962] sdhci-arasan e0100000.mmc: No vmmc regulator found
[    1.775739] sdhci-arasan e0100000.mmc: No vqmmc regulator found
[    1.822059] mmc0: SDHCI controller on e0100000.mmc [e0100000.mmc] using ADMA
[    1.829690] ledtrig-cpu: registered to indicate activity on CPUs
[    1.837154] Key type dns_resolver registered
[    1.841669] Registering SWP/SWPB emulation handler
[    1.847269] registered taskstats version 1
[    1.851290] Loading compiled-in X.509 certificates
[    1.857274] Key type encrypted registered
[    1.861224] AppArmor: AppArmor sha1 policy hashing enabled
[    1.866854] ima: No TPM chip found, activating TPM-bypass!
[    1.872420] evm: HMAC attrs: 0x1
[    1.876069] hctosys: unable to open rtc device (rtc0)
[    1.886851] md: Waiting for all devices to be available before autodetect
[    1.893638] md: If you don't use raid, use raid=noautodetect
[    1.899340] mmc0: new high speed SDHC card at address 0001
[    1.905392] mmcblk0: mmc0:0001 SD16G 29.1 GiB
[    1.910823]  mmcblk0: p1 p2
[    1.915575] md: Autodetecting RAID arrays.
[    1.919594] md: Scanned 0 and added 0 devices.
[    1.924058] md: autorun ...
[    1.926796] md: ... autorun DONE.
[    2.355765] EXT4-fs (mmcblk0p2): recovery complete
[    2.361886] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
[    2.369959] VFS: Mounted root (ext4 filesystem) on device 179:2.
[    2.377109] devtmpfs: mounted
[    2.380319] Freeing unused kernel memory: 312K (c0830000 - c087e000)
[    2.923904] systemd[1]: System time before build time, advancing clock.
[    2.966993] systemd[1]: Failed to insert module 'autofs4': No such file or di[    3.032693] systemd[1]: systemd 237 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD -IDN2 +IDN -PCRE2 default-hierarchy=hybr▒+▒r▒▒▒▒▒▒▒systemd[1]: Detected architecture arm.
Welcome to PynqLinux, based on Ubuntu 18.04!

[    3.573953] systemd[1]: File /lib/systemd/system/systemd-journald.service:36 configures an IP firewall (IPAddressDeny=any), but the local system does not sup▒+▒r▒ʂʲ▒▒systemd[1]: Proceeding WITHOUT firewalling in effect! (This warning is ▒+▒rʊ▒▒▒▒▒random: systemd: uninitialized urandom read (16 bytes read, 38 bits of[  OK  ] Created slice System Slice.ystem Slice.
[    3.952272] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Created slice system-getty.slice.getty.slice.
[    3.982191] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Listening on /dev/initctl Compatibility Named Pipe.y Named Pipe.
[    4.022213] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Listening on udev Control Socket.ntrol Socket.
[    4.052209] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Created slice system-serial\x2dgetty.slice.2dgetty.slice.
[    4.082726] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Listening on Journal Audit Socket.udit Socket.
[    4.112239] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Created slice User and Session Slice.Session Slice.
[    4.142190] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Reached target Remote File Systems.File Systems.
[    4.172304] random: systemd: uninitialized urandom read (16 bytes read, 39 bi▒+▒r▒▒▒▒▒systemd[1]: Started Dispatch Password Requests to Console Directory Wa[  OK  ] Started Dispatch Password Requests to Console Directory Watch.
[    4.212186] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Reached target Slices.arget Slices.
[  OK  ] Listening on udev Kernel Socket.dev Kernel Socket.
[  OK  ] Listening on Journal Socket.on Journal Socket.
         Starting Restore / save the current clock...e current clock...
         Mounting POSIX Message Queue File System...eue File System...
         Starting Remount Root and Kernel File Systems...el File Systems...
         Starting Nameserver information manager...rmation manager...
         Mounting Kernel Debug File System...bug File System...
[  OK  ] Listening on Journal Socket (/dev/log).Socket (/dev/log).
[  OK  ] Reached target System Time Synchronized.Time Synchronized.
[  OK  ] Listening on Syslog Socket. on Syslog Socket.
         Starting Journal Service...Journal Service...
[  OK  ] Started ntp-systemd-netif.path.ystemd-netif.path.
         Starting Create Static Device Nodes in /dev...e Nodes in /dev...
[    4.693006] systemd[1]: Started Forward Password Requests to Wall Directory W[  OK  ] Started Forward Password Requests to Wall Directory Watch.
[  OK  ] Reached target Local Encrypted Volumes.Encrypted Volumes.
         Starting Load Kernel Modules... Kernel Modules...
         Starting udev Coldplug all Devices...lug all Devices...
[  OK  ] Started Journal Service.d Journal Service.
[  OK  ] Started Restore / save the current clock.
[  OK  ] Mounted POSIX Message Queue File System.
[  OK  ] Started Remount Root and Kernel File Systems.
[  OK  ] Mounted Kernel Debug File System.
[  OK  ] Started Create Static Device Nodes in /dev.
[  OK  ] Started Load Kernel Modules.
[  OK  ] Started Nameserver information manager.
[  OK  ] Reached target Network (Pre).
         Starting Apply Kernel Variables...
[  OK  ] Reached target Local File Systems (Pre).
         Starting udev Kernel Device Manager...
[  OK  ] Reached target Local File Systems.
         Starting Enable support for additional executable binary formats...
         Starting Load/Save Random Seed...
         Activating swap /var/swap...
         Starting Flush Journal to Persistent Storage...
[  OK  ] Started Apply Kernel Variables.
[  OK  ] Started Enable support for additional executable binary formats.
         Starting Raise network interfaces...
[  OK  ] Started Load/Save Random Seed.
[  OK  ] Started udev Kernel Device Manager.
[  OK  ] Started udev Coldplug all Devices.
[  OK  ] Activated swap /var/swap.
[  OK  ] Reached target Swap.
[  OK  ] Found device /dev/ttyPS0.
[  OK  ] Started Flush Journal to Persistent Storage.
         Starting Create Volatile Files and Directories...
[  OK  ] Started Create Volatile Files and Directories.
         Starting Network Name Resolution...
         Starting Network Time Synchronization...
         Starting Update UTMP about System Boot/Shutdown...
[  OK  ] Started Update UTMP about System Boot/Shutdown.
[  OK  ] Started Network Name Resolution.
[  OK  ] Found device /sys/subsystem/net/devices/eth0.
[  OK  ] Started Network Time Synchronization.
[  OK  ] Started ifup for eth0.
[  OK  ] Reached target Host and Network Name Lookups.
[  OK  ] Reached target System Initialization.
[  OK  ] Started Discard unused blocks once a week.
[  OK  ] Started Message of the Day.
[  OK  ] Started Daily Cleanup of Temporary Directories.
[  OK  ] Started resolvconf-pull-resolved.path.
[  OK  ] Reached target Paths.
[  OK  ] Listening on D-Bus System Message Bus Socket.
[  OK  ] Reached target Sockets.
[  OK  ] Reached target Basic System.
         Starting System Logging Service...
         Starting PYNQ PL Server...
         Starting Login Service...
         Starting Resize Filesystem on SD card...
         Starting Jupyter Notebook Server...
         Starting resolvconf-pull-resolved.service...
[  OK  ] Started D-Bus System Message Bus.
[  OK  ] Started Login Service.
[  OK  ] Started Regular background program processing daemon.
[  OK  ] Started ntp-systemd-netif.service.
[  OK  ] Started Daily apt download activities.
[  OK  ] Started Daily apt upgrade and clean activities.
[  OK  ] Reached target Timers.
         Starting WPA supplicant...
[  OK  ] Started Set the CPU Frequency Scaling governor.
         Starting LSB: Load kernel modules needed to enable cpufreq scaling...
[  OK  ] Started System Logging Service.
[  OK  ] Started PYNQ PL Server.
[  OK  ] Started Resize Filesystem on SD card.
[  OK  ] Started resolvconf-pull-resolved.service.
[  OK  ] Started WPA supplicant.
[FAILED] Failed to start Raise network interfaces.
See 'systemctl status networking.service' for details.
[  OK  ] Reached target Network.
         Starting Permit User Sessions...
[  OK  ] Reached target Network is Online.
[  OK  ] Started ISC DHCP IPv6 server.
[  OK  ] Started ISC DHCP IPv4 server.
         Starting Samba NMB Daemon...
         Starting OpenBSD Secure Shell server...
[  OK  ] Started Unattended Upgrades Shutdown.
[  OK  ] Started Permit User Sessions.
[  OK  ] Started Getty on tty1.
[  OK  ] Started Serial Getty on ttyPS0.
[  OK  ] Reached target Login Prompts.
[  OK  ] Started LSB: Load kernel modules needed to enable cpufreq scaling.
         Starting LSB: set CPUFreq kernel parameters...
[  OK  ] Started LSB: set CPUFreq kernel parameters.
[  OK  ] Started OpenBSD Secure Shell server.
[  OK  ] Started Samba NMB Daemon.
         Starting Samba SMB Daemon...
[  OK  ] Started Samba SMB Daemon.
[  OK  ] Reached target Multi-User System.
[  OK  ] Reached target Graphical Interface.
         Starting Update UTMP about System Runlevel Changes...
[  OK  ] Started Update UTMP about System Runlevel Changes.

PYNQ Linux, based on Ubuntu 18.04 pynq ttyPS0

pynq login: xilinx (automatic login)

Last login: Mon Sep 10 19:44:12 UTC 2018 on ttyPS0
Welcome to PYNQ Linux, based on Ubuntu 18.04 (GNU/Linux 4.4.30-xillinux-2.0 armv7l)

离线

#236 2021-01-11 09:20:35

ssylyang
会员
注册时间: 2021-01-11
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

谢谢大佬的教程,让我们少走些弯路!

离线

#237 2021-01-11 14:17:21

dso_2019
会员
注册时间: 2019-10-13
已发帖子: 39
积分: 3.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

裸机模式没有例子工程吧?

离线

#238 2021-01-22 03:53:33

pptwo
会员
注册时间: 2021-01-22
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

拿到两块板,电路有些变化。主要是去掉了网口晶振以及C377/C380,但是连接了R1485。另外风扇口的optocoupler也去掉了,接上了相应的标NC的电阻。

离线

#239 2021-01-25 13:48:21

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

dso_2019 说:

裸机模式没有例子工程吧?

有的,qq群共享里有视频:464302622

离线

#240 2021-01-25 13:48:57

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

lignin 说:

[FAILED] Failed to start Raise network interfaces.
网络出现问题了,这个要怎么办呢


U-Boot 2019.01-07011-g5ff8217-dirty (Mar 31 2019 - 08:09:08 +0800)

CPU:   Zynq 7z010
Silicon: v3.1
Model: Zynq MicroZED Board
I2C:   ready
DRAM:  ECC disabled 256 MiB
Watchdog: Started
NAND:  128 MiB
MMC:   mmc@e0100000: 0
In:    serial@e0001000
Out:   serial@e0001000
Err:   serial@e0001000
Net:   ZYNQ GEM: e000b000, phyaddr 0, interface mii
PHY is not detected
GEM PHY init failed
eth-1: ethernet@e000b000ZYNQ GEM: e000c000, phyaddr 1, interface rmii
mdio_register: non unique device name 'eth0'
, eth-1: ethernet@e000c000
384 bytes read in 13 ms (28.3 KiB/s)
Importing environment from SD ...
Hit any key to stop autoboot:  0
!!!
!!! Booting cmd is deprecated (will be removed in 2020).
!!! Please move to distro bootcmd.
!!!
Device: mmc@e0100000
Manufacturer ID: fe
OEM: 3432
Name: SD16G
Bus Speed: 50000000
Mode : SD High Speed (50MHz)
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 29.1 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
384 bytes read in 10 ms (37.1 KiB/s)
Loaded environment from uEnv.txt
Importing environment from SD ...
Running uenvcmd ...
2083852 bytes read in 140 ms (14.2 MiB/s)
  design filename = "design_1_wrapper;UserID=0XFFFFFFFF;Version=2018.3"
  part number = "7z010clg400"
  date = "2019/03/31"
  time = "07:11:02"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100070 to fff80(swap 1)
4487928 bytes read in 273 ms (15.7 MiB/s)
14305 bytes read in 21 ms (665 KiB/s)
## Booting kernel from Legacy Image at 02080000 ...
   Image Name:   Linux-4.4.30-xillinux-2.0
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    4487864 Bytes = 4.3 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Device Tree to 0eb10000, end 0eb167e0 ... OK

Starting kernel ...

Uncompressing Linux... done, booting the kernel.
[    0.000000] Booting Linux on physical CPU 0x0
[    0.000000] Initializing cgroup subsys cpuset
[    0.000000] Initializing cgroup subsys cpu
[    0.000000] Initializing cgroup subsys cpuacct
[    0.000000] Linux version 4.4.30-xillinux-2.0 (eli@ocho.localdomain) (gcc version 4.7.3 (Sourcery CodeBench Lite 2013.05-40) ) #1 SMP PREEMPT Tue Dec 5 11:54:25 IST 2017 ()
[    0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[    0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[    0.000000] Machine model: Zynq ebit board
[    0.000000] bootconsole [earlycon0] enabled
[    0.000000] Booting Linux on physical CPU 0x0
[    0.000000] Initializing cgroup subsys cpuset
[    0.000000] Initializing cgroup subsys cpu
[    0.000000] Initializing cgroup subsys cpuacct
[    0.000000] Linux version 4.4.30-xillinux-2.0 (eli@ocho.localdomain) (gcc version 4.7.3 (Sourcery CodeBench Lite 2013.05-40) ) #1 SMP PREEMPT Tue Dec 5 11:54:25 IST 2017 ()
[    0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[    0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[    0.000000] Machine model: Zynq ebit board
[    0.000000] bootconsole [earlycon0] enabled
[    0.000000] bootconsole [uart0] enabled
[    0.000000] bootconsole [uart0] enabled
[    0.000000] cma: Reserved 16 MiB at 0x0f000000
[    0.000000] cma: Reserved 16 MiB at 0x0f000000
[    0.000000] Memory policy: Data cache writealloc
[    0.000000] Memory policy: Data cache writealloc
[    0.000000] On node 0 totalpages: 65536
[    0.000000] On node 0 totalpages: 65536
[    0.000000] free_area_init_node: node 0, pgdat c08c6880, node_mem_map cedaf000
[    0.000000] free_area_init_node: node 0, pgdat c08c6880, node_mem_map cedaf000
[    0.000000]   Normal zone: 576 pages used for memmap
[    0.000000]   Normal zone: 576 pages used for memmap
[    0.000000]   Normal zone: 0 pages reserved
[    0.000000]   Normal zone: 0 pages reserved
[    0.000000]   Normal zone: 65536 pages, LIFO batch:15
[    0.000000]   Normal zone: 65536 pages, LIFO batch:15
[    0.000000] PERCPU: Embedded 12 pages/cpu @ced8b000 s18880 r8192 d22080 u49152
[    0.000000] PERCPU: Embedded 12 pages/cpu @ced8b000 s18880 r8192 d22080 u49152
[    0.000000] pcpu-alloc: s18880 r8192 d22080 u49152 alloc=12*4096[    0.000000] pcpu-alloc: s18880 r8192 d22080 u49152 alloc=12*4096

[    0.000000] pcpu-alloc: [    0.000000] pcpu-alloc: [0] [0] 0 0 [0] [0] 1 1

[    0.000000] Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 64960
[    0.000000] Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 64960
[    0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
[    0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
[    0.000000] PID hash table entries: 1024 (order: 0, 4096 bytes)
[    0.000000] PID hash table entries: 1024 (order: 0, 4096 bytes)
[    0.000000] Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
[    0.000000] Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
[    0.000000] Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
[    0.000000] Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
[    0.000000] Memory: 233572K/262144K available (6155K kernel code, 294K rwdata, 2192K rodata, 312K init, 472K bss, 12188K reserved, 16384K cma-reserved, 0K highmem)
[    0.000000] Memory: 233572K/262144K available (6155K kernel code, 294K rwdata, 2192K rodata, 312K init, 472K bss, 12188K reserved, 16384K cma-reserved, 0K highmem)
[    0.000000] Virtual kernel memory layout:
[    0.000000]     vector  : 0xffff0000 - 0xffff1000   (   4 kB)
[    0.000000]     fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
[    0.000000]     vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
[    0.000000]     lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
[    0.000000]     pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
[    0.000000]     modules : 0xbf000000 - 0xbfe00000   (  14 MB)
[    0.000000]       .text : 0xc0008000 - 0xc082f0c4   (8349 kB)
[    0.000000]       .init : 0xc0830000 - 0xc087e000   ( 312 kB)
[    0.000000]       .data : 0xc087e000 - 0xc08c7840   ( 295 kB)
[    0.000000]        .bss : 0xc08c7840 - 0xc093da38   ( 473 kB)
[    0.000000] Virtual kernel memory layout:
[    0.000000]     vector  : 0xffff0000 - 0xffff1000   (   4 kB)
[    0.000000]     fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
[    0.000000]     vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
[    0.000000]     lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
[    0.000000]     pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
[    0.000000]     modules : 0xbf000000 - 0xbfe00000   (  14 MB)
[    0.000000]       .text : 0xc0008000 - 0xc082f0c4   (8349 kB)
[    0.000000]       .init : 0xc0830000 - 0xc087e000   ( 312 kB)
[    0.000000]       .data : 0xc087e000 - 0xc08c7840   ( 295 kB)
[    0.000000]        .bss : 0xc08c7840 - 0xc093da38   ( 473 kB)
[    0.000000] Preemptible hierarchical RCU implementation.
[    0.000000] Preemptible hierarchical RCU implementation.
[    0.000000]  Build-time adjustment of leaf fanout to 32.
[    0.000000]  Build-time adjustment of leaf fanout to 32.
[    0.000000]  RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[    0.000000]  RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
[    0.000000] NR_IRQS:16 nr_irqs:16 16
[    0.000000] NR_IRQS:16 nr_irqs:16 16
[    0.000000] slcr mapped to d0800000
[    0.000000] slcr mapped to d0800000
[    0.000000] L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C-310 erratum[    0.000000] L2C-310 erratum 769419 769419 enabled
 enabled
[    0.000000] L2C-310 enabling early BRESP for Cortex-A9
[    0.000000] L2C-310 enabling early BRESP for Cortex-A9
[    0.000000] L2C-310 full line of zeros enabled for Cortex-A9
[    0.000000] L2C-310 full line of zeros enabled for Cortex-A9
[    0.000000] L2C-310 ID prefetch enabled, offset 1 lines
[    0.000000] L2C-310 ID prefetch enabled, offset 1 lines
[    0.000000] L2C-310 dynamic clock gating enabled, standby mode enabled
[    0.000000] L2C-310 dynamic clock gating enabled, standby mode enabled
[    0.000000] L2C-310 cache controller enabled, 8 ways, 512 kB
[    0.000000] L2C-310 cache controller enabled, 8 ways, 512 kB
[    0.000000] L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
[    0.000000] L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
[    0.000000] zynq_clock_init: clkc starts at d0800100
[    0.000000] zynq_clock_init: clkc starts at d0800100
[    0.000000] Zynq clock init
[    0.000000] Zynq clock init
[    0.000011] sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
[    0.000011] sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
[    0.015865] clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
[    0.015865] clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
[    0.038011] clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
[    0.038011] clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
[    0.056183] timer #0 at d0808000, irq=17
[    0.056183] timer #0 at d0808000, irq=17
[    0.064441] Console: colour dummy device 80x30
[    0.064441] Console: colour dummy device 80x30
[    0.073204] Calibrating delay loop... [    0.073204] Calibrating delay loop... 1332.01 BogoMIPS (lpj=6660096)
1332.01 BogoMIPS (lpj=6660096)
[    0.169626] pid_max: default: 32768 minimum: 301
[    0.169626] pid_max: default: 32768 minimum: 301
[    0.178997] Security Framework initialized
[    0.178997] Security Framework initialized
[    0.187111] Yama: becoming mindful.
[    0.187111] Yama: becoming mindful.
[    0.194177] AppArmor: AppArmor initialized
[    0.194177] AppArmor: AppArmor initialized
[    0.202365] Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.202365] Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.215575] Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.215575] Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.230393] Initializing cgroup subsys io
[    0.230393] Initializing cgroup subsys io
[    0.238310] Initializing cgroup subsys memory
[    0.238310] Initializing cgroup subsys memory
[    0.247057] Initializing cgroup subsys devices
[    0.247057] Initializing cgroup subsys devices
[    0.255950] Initializing cgroup subsys freezer
[    0.255950] Initializing cgroup subsys freezer
[    0.264854] Initializing cgroup subsys net_cls
[    0.264854] Initializing cgroup subsys net_cls
[    0.273751] Initializing cgroup subsys perf_event
[    0.273751] Initializing cgroup subsys perf_event
[    0.283191] Initializing cgroup subsys net_prio
[    0.283191] Initializing cgroup subsys net_prio
[    0.292272] Initializing cgroup subsys pids
[    0.292272] Initializing cgroup subsys pids
[    0.300693] CPU: Testing write buffer coherency: [    0.300693] CPU: Testing write buffer coherency: ok
ok
[    0.310657] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[    0.310657] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[    0.321901] Setting up static identity map for 0x82c0 - 0x82f4
[    0.321901] Setting up static identity map for 0x82c0 - 0x82f4
[    0.504160] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[    0.504160] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[    0.504263] Brought up 2 CPUs
[    0.504263] Brought up 2 CPUs
[    0.521416] SMP: Total of 2 processors activated (2664.03 BogoMIPS).
[    0.521416] SMP: Total of 2 processors activated (2664.03 BogoMIPS).
[    0.534139] CPU: All CPU(s) started in SVC mode.
[    0.534139] CPU: All CPU(s) started in SVC mode.
[    0.544468] devtmpfs: initialized
[    0.544468] devtmpfs: initialized
[    0.555046] evm: security.selinux
[    0.555046] evm: security.selinux
[    0.561556] evm: security.SMACK64
[    0.561556] evm: security.SMACK64
[    0.568244] evm: security.SMACK64EXEC
[    0.568244] evm: security.SMACK64EXEC
[    0.575595] evm: security.SMACK64TRANSMUTE
[    0.575595] evm: security.SMACK64TRANSMUTE
[    0.583786] evm: security.SMACK64MMAP
[    0.583786] evm: security.SMACK64MMAP
[    0.591153] evm: security.ima
[    0.591153] evm: security.ima
[    0.597119] evm: security.capability
[    0.597119] evm: security.capability
[    0.604732] VFP support v0.3: [    0.604732] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
implementor 41 architecture 3 part 30 variant 9 rev 4
[    0.620199] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
[    0.620199] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
[    0.640901] pinctrl core: initialized pinctrl subsystem
[    0.640901] pinctrl core: initialized pinctrl subsystem
[    0.652783] NET: Registered protocol family 16
[    0.652783] NET: Registered protocol family 16
[    0.663664] DMA: preallocated 256 KiB pool for atomic coherent allocations
[    0.663664] DMA: preallocated 256 KiB pool for atomic coherent allocations
[    0.689297] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[    0.689297] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[    0.705203] hw-breakpoint: maximum watchpoint size is 4 bytes.
[    0.705203] hw-breakpoint: maximum watchpoint size is 4 bytes.
[    0.717002] zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0880000
[    0.717002] zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0880000
[    0.730550] zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
[    0.730550] zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
[    0.787490] GPIO IRQ not connected
[    0.787490] GPIO IRQ not connected
[    0.794237] XGpio: /amba_pl/gpio@41200000: registered, base is 904
[    0.794237] XGpio: /amba_pl/gpio@41200000: registered, base is 904
[    0.806867] GPIO IRQ not connected
[    0.806867] GPIO IRQ not connected
[    0.813550] XGpio: /amba_pl/gpio@41210000: registered, base is 899
[    0.813550] XGpio: /amba_pl/gpio@41210000: registered, base is 899
[    0.827036] vgaarb: loaded
[    0.827036] vgaarb: loaded
[    0.835077] SCSI subsystem initialized
[    0.835077] SCSI subsystem initialized
[    0.842941] usbcore: registered new interface driver usbfs
[    0.842941] usbcore: registered new interface driver usbfs
[    0.853897] usbcore: registered new interface driver hub
[    0.853897] usbcore: registered new interface driver hub
[    0.864538] usbcore: registered new device driver usb
[    0.864538] usbcore: registered new device driver usb
[    0.874886] media: Linux media interface: v0.10
[    0.874886] media: Linux media interface: v0.10
[    0.883879] Linux video capture interface: v2.00
[    0.883879] Linux video capture interface: v2.00
[    0.893260] pps_core: LinuxPPS API ver. 1 registered
[    0.893260] pps_core: LinuxPPS API ver. 1 registered
[    0.903084] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    0.903084] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    0.921358] PTP clock support registered
[    0.921358] PTP clock support registered
[    0.929539] EDAC MC: Ver: 3.0.0
[    0.929539] EDAC MC: Ver: 3.0.0
[    0.955065] NetLabel: Initializing
[    0.955065] NetLabel: Initializing
[    0.961749] NetLabel:  domain hash size = 128
[    0.961749] NetLabel:  domain hash size = 128
[    0.970521] NetLabel:  protocols = UNLABELED CIPSOv4
[    0.970521] NetLabel:  protocols = UNLABELED CIPSOv4
[    0.980508] NetLabel:  unlabeled traffic allowed by default
[    0.980508] NetLabel:  unlabeled traffic allowed by default
[    0.992011] clocksource: Switched to clocksource arm_global_timer
[    0.992011] clocksource: Switched to clocksource arm_global_timer
[    1.004817] AppArmor: AppArmor Filesystem Enabled
[    1.004817] AppArmor: AppArmor Filesystem Enabled
[    1.027279] NET: Registered protocol family 2
[    1.027279] NET: Registered protocol family 2
[    1.036770] TCP established hash table entries: 2048 (order: 1, 8192 bytes)
[    1.036770] TCP established hash table entries: 2048 (order: 1, 8192 bytes)
[    1.050611] TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
[    1.050611] TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
[    1.063502] TCP: Hash tables configured (established 2048 bind 2048)
[    1.063502] TCP: Hash tables configured (established 2048 bind 2048)
[    1.076460] UDP hash table entries: 256 (order: 1, 8192 bytes)
[    1.076460] UDP hash table entries: 256 (order: 1, 8192 bytes)
[    1.088041] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[    1.088041] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[    1.100807] NET: Registered protocol family 1
[    1.100807] NET: Registered protocol family 1
[    1.109824] RPC: Registered named UNIX socket transport module.
[    1.109824] RPC: Registered named UNIX socket transport module.
[    1.121560] RPC: Registered udp transport module.
[    1.121560] RPC: Registered udp transport module.
[    1.130980] RPC: Registered tcp transport module.
[    1.130980] RPC: Registered tcp transport module.
[    1.140403] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    1.140403] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    1.153332] PCI: CLS 0 bytes, default 64
[    1.153332] PCI: CLS 0 bytes, default 64
[    1.161811] hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
[    1.161811] hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
[    1.179314] futex hash table entries: 512 (order: 3, 32768 bytes)
[    1.179314] futex hash table entries: 512 (order: 3, 32768 bytes)
[    1.191513] audit: initializing netlink subsys (disabled)
[    1.191513] audit: initializing netlink subsys (disabled)
[    1.202261] audit: type=2000 audit(1.069:1): initialized
[    1.202261] audit: type=2000 audit(1.069:1): initialized
[    1.213338] Initialise system trusted keyring
[    1.213338] Initialise system trusted keyring
[    1.222654] VFS: Disk quotas dquot_6.6.0
[    1.222654] VFS: Disk quotas dquot_6.6.0
[    1.230445] VFS: Dquot-cache hash table entries: 1024 (order 0, 4096 bytes)
[    1.230445] VFS: Dquot-cache hash table entries: 1024 (order 0, 4096 bytes)
[    1.244773] squashfs: version 4.0 (2009/01/31) Phillip Lougher
[    1.244773] squashfs: version 4.0 (2009/01/31) Phillip Lougher
[    1.257135] NFS: Registering the id_resolver key type
[    1.257135] NFS: Registering the id_resolver key type
[    1.267156] Key type id_resolver registered
[    1.267156] Key type id_resolver registered
[    1.275521] Key type id_legacy registered
[    1.275521] Key type id_legacy registered
[    1.283633] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    1.283633] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    1.297056] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    1.297056] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    1.311515] Allocating IMA MOK and blacklist keyrings.
[    1.311515] Allocating IMA MOK and blacklist keyrings.
[    1.323393] Key type asymmetric registered
[    1.323393] Key type asymmetric registered
[    1.331469] Asymmetric key parser 'x509' registered
[    1.331469] Asymmetric key parser 'x509' registered
[    1.341390] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 248)
[    1.341390] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 248)
[    1.356200] io scheduler noop registered
[    1.356200] io scheduler noop registered
[    1.363954] io scheduler deadline registered (default)
[    1.363954] io scheduler deadline registered (default)
[    1.374269] io scheduler cfq registered
[    1.374269] io scheduler cfq registered
[    1.393896] e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 145, base_baud = 6249999) is a xuartps
[    1.393896] e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 145, base_baud = 6249999) is a xuartps
[    1.412428] e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 146, base_baud = 6249999) is a xuartps
[    1.412428] e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 146, base_baud ▒[    1.430706] console [ttyPS0] enabled
[    1.430706] console [ttyPS0] enabled
[    1.430706] console [ttyPS0] enabled
[    1.441378] bootconsole [earlycon0] disabled
[    1.441378] bootconsole [earlycon0] disabled
[    1.441378] bootconsole [earlycon0] disabled
[    1.454227] bootconsole [uart0] disabled
[    1.454227] bootconsole [uart0] disabled
[    1.462932] xdevcfg f8007000.devcfg: ioremap 0xf8007000 to d0852000
[    1.485167] brd: module loaded
[    1.495591] loop: module loaded
[    1.517212] libphy: Fixed MDIO Bus: probed
[    1.521835] macb e000b000.ethernet: invalid hw address, using random
[    1.529455] libphy: MACB_mii_bus: probed
[    1.535271] macb e000b000.ethernet eth0: no PHY found
[    1.562379] macb e000c000.ethernet: invalid hw address, using random
[    1.569476] libphy: MACB_mii_bus: probed
[    1.652167] macb e000c000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000c000 irq 151 (1a:df:a3:66:a0:e2)
[    1.662048] macb e000c000.ethernet eth0: attached PHY driver [Generic PHY] (mii_bus:phy_addr=e000c000.etherne:01, irq=-1)
[    1.674275] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[    1.680723] ehci-pci: EHCI PCI platform driver
[    1.685246] ehci-platform: EHCI generic platform driver
[    1.690597] ohci_hcd: USB 1.1 'Open' Host Controller (OHCI) Driver
[    1.696743] ohci-pci: OHCI PCI platform driver
[    1.701195] ohci-platform: OHCI generic platform driver
[    1.706533] uhci_hcd: USB Universal Host Controller Interface driver
[    1.713030] usbcore: registered new interface driver usb-storage
[    1.719416] mousedev: PS/2 mouse device common for all mice
[    1.735523] i2c /dev entries driver
[    1.740154] device-mapper: uevent: version 1.0.3
[    1.744999] device-mapper: ioctl: 4.34.0-ioctl (2015-10-28) initialised: dm-devel@redhat.com
[    1.753455] sdhci: Secure Digital Host Controller Interface driver
[    1.759547] sdhci: Copyright(c) Pierre Ossman
[    1.763926] sdhci-pltfm: SDHCI platform and OF driver helper
[    1.769962] sdhci-arasan e0100000.mmc: No vmmc regulator found
[    1.775739] sdhci-arasan e0100000.mmc: No vqmmc regulator found
[    1.822059] mmc0: SDHCI controller on e0100000.mmc [e0100000.mmc] using ADMA
[    1.829690] ledtrig-cpu: registered to indicate activity on CPUs
[    1.837154] Key type dns_resolver registered
[    1.841669] Registering SWP/SWPB emulation handler
[    1.847269] registered taskstats version 1
[    1.851290] Loading compiled-in X.509 certificates
[    1.857274] Key type encrypted registered
[    1.861224] AppArmor: AppArmor sha1 policy hashing enabled
[    1.866854] ima: No TPM chip found, activating TPM-bypass!
[    1.872420] evm: HMAC attrs: 0x1
[    1.876069] hctosys: unable to open rtc device (rtc0)
[    1.886851] md: Waiting for all devices to be available before autodetect
[    1.893638] md: If you don't use raid, use raid=noautodetect
[    1.899340] mmc0: new high speed SDHC card at address 0001
[    1.905392] mmcblk0: mmc0:0001 SD16G 29.1 GiB
[    1.910823]  mmcblk0: p1 p2
[    1.915575] md: Autodetecting RAID arrays.
[    1.919594] md: Scanned 0 and added 0 devices.
[    1.924058] md: autorun ...
[    1.926796] md: ... autorun DONE.
[    2.355765] EXT4-fs (mmcblk0p2): recovery complete
[    2.361886] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
[    2.369959] VFS: Mounted root (ext4 filesystem) on device 179:2.
[    2.377109] devtmpfs: mounted
[    2.380319] Freeing unused kernel memory: 312K (c0830000 - c087e000)
[    2.923904] systemd[1]: System time before build time, advancing clock.
[    2.966993] systemd[1]: Failed to insert module 'autofs4': No such file or di[    3.032693] systemd[1]: systemd 237 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD -IDN2 +IDN -PCRE2 default-hierarchy=hybr▒+▒r▒▒▒▒▒▒▒systemd[1]: Detected architecture arm.
Welcome to PynqLinux, based on Ubuntu 18.04!

[    3.573953] systemd[1]: File /lib/systemd/system/systemd-journald.service:36 configures an IP firewall (IPAddressDeny=any), but the local system does not sup▒+▒r▒ʂʲ▒▒systemd[1]: Proceeding WITHOUT firewalling in effect! (This warning is ▒+▒rʊ▒▒▒▒▒random: systemd: uninitialized urandom read (16 bytes read, 38 bits of[  OK  ] Created slice System Slice.ystem Slice.
[    3.952272] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Created slice system-getty.slice.getty.slice.
[    3.982191] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Listening on /dev/initctl Compatibility Named Pipe.y Named Pipe.
[    4.022213] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Listening on udev Control Socket.ntrol Socket.
[    4.052209] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Created slice system-serial\x2dgetty.slice.2dgetty.slice.
[    4.082726] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Listening on Journal Audit Socket.udit Socket.
[    4.112239] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Created slice User and Session Slice.Session Slice.
[    4.142190] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Reached target Remote File Systems.File Systems.
[    4.172304] random: systemd: uninitialized urandom read (16 bytes read, 39 bi▒+▒r▒▒▒▒▒systemd[1]: Started Dispatch Password Requests to Console Directory Wa[  OK  ] Started Dispatch Password Requests to Console Directory Watch.
[    4.212186] random: systemd: uninitialized urandom read (16 bytes read, 39 bi[  OK  ] Reached target Slices.arget Slices.
[  OK  ] Listening on udev Kernel Socket.dev Kernel Socket.
[  OK  ] Listening on Journal Socket.on Journal Socket.
         Starting Restore / save the current clock...e current clock...
         Mounting POSIX Message Queue File System...eue File System...
         Starting Remount Root and Kernel File Systems...el File Systems...
         Starting Nameserver information manager...rmation manager...
         Mounting Kernel Debug File System...bug File System...
[  OK  ] Listening on Journal Socket (/dev/log).Socket (/dev/log).
[  OK  ] Reached target System Time Synchronized.Time Synchronized.
[  OK  ] Listening on Syslog Socket. on Syslog Socket.
         Starting Journal Service...Journal Service...
[  OK  ] Started ntp-systemd-netif.path.ystemd-netif.path.
         Starting Create Static Device Nodes in /dev...e Nodes in /dev...
[    4.693006] systemd[1]: Started Forward Password Requests to Wall Directory W[  OK  ] Started Forward Password Requests to Wall Directory Watch.
[  OK  ] Reached target Local Encrypted Volumes.Encrypted Volumes.
         Starting Load Kernel Modules... Kernel Modules...
         Starting udev Coldplug all Devices...lug all Devices...
[  OK  ] Started Journal Service.d Journal Service.
[  OK  ] Started Restore / save the current clock.
[  OK  ] Mounted POSIX Message Queue File System.
[  OK  ] Started Remount Root and Kernel File Systems.
[  OK  ] Mounted Kernel Debug File System.
[  OK  ] Started Create Static Device Nodes in /dev.
[  OK  ] Started Load Kernel Modules.
[  OK  ] Started Nameserver information manager.
[  OK  ] Reached target Network (Pre).
         Starting Apply Kernel Variables...
[  OK  ] Reached target Local File Systems (Pre).
         Starting udev Kernel Device Manager...
[  OK  ] Reached target Local File Systems.
         Starting Enable support for additional executable binary formats...
         Starting Load/Save Random Seed...
         Activating swap /var/swap...
         Starting Flush Journal to Persistent Storage...
[  OK  ] Started Apply Kernel Variables.
[  OK  ] Started Enable support for additional executable binary formats.
         Starting Raise network interfaces...
[  OK  ] Started Load/Save Random Seed.
[  OK  ] Started udev Kernel Device Manager.
[  OK  ] Started udev Coldplug all Devices.
[  OK  ] Activated swap /var/swap.
[  OK  ] Reached target Swap.
[  OK  ] Found device /dev/ttyPS0.
[  OK  ] Started Flush Journal to Persistent Storage.
         Starting Create Volatile Files and Directories...
[  OK  ] Started Create Volatile Files and Directories.
         Starting Network Name Resolution...
         Starting Network Time Synchronization...
         Starting Update UTMP about System Boot/Shutdown...
[  OK  ] Started Update UTMP about System Boot/Shutdown.
[  OK  ] Started Network Name Resolution.
[  OK  ] Found device /sys/subsystem/net/devices/eth0.
[  OK  ] Started Network Time Synchronization.
[  OK  ] Started ifup for eth0.
[  OK  ] Reached target Host and Network Name Lookups.
[  OK  ] Reached target System Initialization.
[  OK  ] Started Discard unused blocks once a week.
[  OK  ] Started Message of the Day.
[  OK  ] Started Daily Cleanup of Temporary Directories.
[  OK  ] Started resolvconf-pull-resolved.path.
[  OK  ] Reached target Paths.
[  OK  ] Listening on D-Bus System Message Bus Socket.
[  OK  ] Reached target Sockets.
[  OK  ] Reached target Basic System.
         Starting System Logging Service...
         Starting PYNQ PL Server...
         Starting Login Service...
         Starting Resize Filesystem on SD card...
         Starting Jupyter Notebook Server...
         Starting resolvconf-pull-resolved.service...
[  OK  ] Started D-Bus System Message Bus.
[  OK  ] Started Login Service.
[  OK  ] Started Regular background program processing daemon.
[  OK  ] Started ntp-systemd-netif.service.
[  OK  ] Started Daily apt download activities.
[  OK  ] Started Daily apt upgrade and clean activities.
[  OK  ] Reached target Timers.
         Starting WPA supplicant...
[  OK  ] Started Set the CPU Frequency Scaling governor.
         Starting LSB: Load kernel modules needed to enable cpufreq scaling...
[  OK  ] Started System Logging Service.
[  OK  ] Started PYNQ PL Server.
[  OK  ] Started Resize Filesystem on SD card.
[  OK  ] Started resolvconf-pull-resolved.service.
[  OK  ] Started WPA supplicant.
[FAILED] Failed to start Raise network interfaces.
See 'systemctl status networking.service' for details.
[  OK  ] Reached target Network.
         Starting Permit User Sessions...
[  OK  ] Reached target Network is Online.
[  OK  ] Started ISC DHCP IPv6 server.
[  OK  ] Started ISC DHCP IPv4 server.
         Starting Samba NMB Daemon...
         Starting OpenBSD Secure Shell server...
[  OK  ] Started Unattended Upgrades Shutdown.
[  OK  ] Started Permit User Sessions.
[  OK  ] Started Getty on tty1.
[  OK  ] Started Serial Getty on ttyPS0.
[  OK  ] Reached target Login Prompts.
[  OK  ] Started LSB: Load kernel modules needed to enable cpufreq scaling.
         Starting LSB: set CPUFreq kernel parameters...
[  OK  ] Started LSB: set CPUFreq kernel parameters.
[  OK  ] Started OpenBSD Secure Shell server.
[  OK  ] Started Samba NMB Daemon.
         Starting Samba SMB Daemon...
[  OK  ] Started Samba SMB Daemon.
[  OK  ] Reached target Multi-User System.
[  OK  ] Reached target Graphical Interface.
         Starting Update UTMP about System Runlevel Changes...
[  OK  ] Started Update UTMP about System Runlevel Changes.

PYNQ Linux, based on Ubuntu 18.04 pynq ttyPS0

pynq login: xilinx (automatic login)

Last login: Mon Sep 10 19:44:12 UTC 2018 on ttyPS0
Welcome to PYNQ Linux, based on Ubuntu 18.04 (GNU/Linux 4.4.30-xillinux-2.0 armv7l)

建议先试试原系统的网络是否正常

离线

#241 2021-03-02 02:23:14

beidou
会员
注册时间: 2021-03-02
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩
http://whycan.com/t_2297.html#p17512
(出处:哇酷开发者社区)
大佬,我刚入,也遇见了这个情况,怎么解决的,谢谢。

离线

#242 2021-03-02 12:14:20

beidou
会员
注册时间: 2021-03-02
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:

用这个镜像xillinux-2.0.img
能跑uboot但是进不了系统
https://whycan.cn/files/members/884/TIM20190413154752.jpg

遇见了同样的问题,大佬怎么解决的啊

离线

#243 2021-03-11 09:07:06

lignin
会员
注册时间: 2020-03-21
已发帖子: 99
积分: 97.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

那是因为pynq会自动加载一个bit文件到pl端导致网络断掉,可以考虑关掉那个功能跑

lignin 说:

pynq系统成功进入系统,但是才进入一会儿后系统就没反应了,这是怎么回事呢,就按照教程在群里下载的那些文件

离线

#244 2021-03-18 12:14:20

lignin
会员
注册时间: 2020-03-21
已发帖子: 99
积分: 97.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

t2的板子有资料吗,看着还不错

离线

#245 2021-03-19 19:50:29

1847123212
会员
注册时间: 2019-11-21
已发帖子: 66
积分: 42

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

你们为什么要去除s9的密码?密码不是公开的吗?把原来的开机启动的挖矿程序停了就行了。

离线

#246 2021-05-11 10:32:31

billcheung1983
会员
注册时间: 2021-05-11
已发帖子: 1
积分: 0.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

楼主这个电源检测模块很漂亮!请问有没有相关资料?或者购买链接?

posystorage 说:

开机电流 5V下供电 约300ma 虽然是要12V 但是似乎5V也能愉快的跑。

FluxBB bbcode 测试

离线

#247 2021-06-15 16:34:05

axe
会员
注册时间: 2021-06-15
已发帖子: 1
积分: 0.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

竟发现了这种好帖子,爱了爱了

离线

#248 2021-06-17 09:09:52

abutter
会员
注册时间: 2021-02-04
已发帖子: 18
积分: 17.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

便宜是便宜,学习起来可不容易。

离线

#249 2021-08-25 12:57:56

savantor
会员
注册时间: 2021-08-25
已发帖子: 1
积分: 0.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

买成了EBAZ4203。。。不知道能不能兼容4205.。。

离线

#250 2021-09-16 13:34:43

演技担当黄晓明
会员
注册时间: 2017-10-17
已发帖子: 183
积分: 121.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

可以试着改个XVC玩下

离线

#251 2021-09-27 22:44:23

enigma
会员
注册时间: 2020-03-03
已发帖子: 7
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

该评论内容与本帖子无关,鼓励各位坑友积极发言讨论与帖子有关的内容!

离线

  • 不通过:与技术无关

#252 2021-10-11 16:34:59

vividsoft
会员
注册时间: 2021-10-11
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这个资料很好,没有积分下载不了。

离线

#253 2021-10-13 10:54:34

flymdk
会员
注册时间: 2021-10-13
已发帖子: 3
积分: 3

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我昨天刚捡一个,花了70大洋,看这帖子入论坛的

离线

#254 2021-11-18 15:05:21

zuibianqi123
会员
注册时间: 2021-11-18
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

一年多后的我了解到了这块板子,并通过搜索引擎查找资料找到了这里。不得不说现在硅片供应真的很紧张啊,即便国内已经打击了挖矿,这个板子还是涨价到了百十来块。国外的矿潮仍旧如火如荼,疫情持续,硅片供应仍旧紧张。现在入手很不划算,只能等等了。:/

离线

#255 2021-12-10 10:16:18

mleaf
会员
注册时间: 2021-12-07
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

去年25捡了4块的我看到现在咸鱼的价格只能说矿难为啥还没来

离线

楼主 #256 2021-12-10 10:19:14

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

billcheung1983 说:

楼主这个电源检测模块很漂亮!请问有没有相关资料?或者购买链接?

posystorage 说:

开机电流 5V下供电 约300ma 虽然是要12V 但是似乎5V也能愉快的跑。

https://whycan.cn/files/members/884/TIM20190331230816.jpg

搜索维简u2

离线

#257 2022-01-03 17:40:00

detour
会员
注册时间: 2022-01-03
已发帖子: 8
积分: 36

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

咸鱼上入了一块(2021年12月),99块不包邮,果然涨价很多了。
本人纯新手,JTAG和串口的排针都是需要焊上去的么?直接怼上去没法用?

离线

#258 2022-01-17 23:20:04

detour
会员
注册时间: 2022-01-03
已发帖子: 8
积分: 36

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

@yinyue01
请问板子版本1703是啥意思?是板子表面的印字还是串口输出?
我手头这块板子uboot环境下网络不通,linux环境下还没试过(不知道root密码),uboot下的输出如下:

In:    serial
Out:   serial
Err:   serial
Model: Zynq Zed Development Board
Net:   Gem.e000b000
Hit 'd' to stop autoboot(eric): 0
zynq-uboot> bdinfo
arch_number = 0x00000000
boot_params = 0x00000000
DRAM bank   = 0x00000000
-> start    = 0x00000000
-> size     = 0x10000000
eth0name    = Gem.e000b000
ethaddr     = 00:0a:35:00:01:22
current eth = Gem.e000b000
ip_addr     = 192.168.0.115
baudrate    = 115200 bps
TLB addr    = 0x0FFF0000
relocaddr   = 0x0FF29000
reloc off   = 0x0BF29000
irq_sp      = 0x0F305A60
sp start    = 0x0F305A50
ARM frequency = 666 MHz
DSP frequency = 0 MHz
DDR frequency = 533 MHz
zynq-uboot> ping 192.168.0.115
Gem.e000b000 Waiting for PHY auto negotiation to complete...... done
Gem.e000b000----100----4047----
Using Gem.e000b000 device
ping failed; host 192.168.0.115 is not alive
zynq-uboot>

2022.01.08 update:
今天发现一个新情况,主机持续ping板子,然后在板子的uboot命令行下ping主机,只有这个时候主机能够收到短暂一段时间的回复。似乎网口默认处于禁用状态,只有主动ping的时候才活跃?不应该呀。。。

最近编辑记录 detour (2022-01-18 21:40:40)

离线

#259 2022-04-18 13:34:19

yizolabs
会员
注册时间: 2022-04-18
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

1847123212 说:
hzsteven 说:

链接呢?

只能咸鱼自己找了。大概这个样子的。

https://whycan.cn/files/members/2468/20200430_154900.jpg


这个没人玩吗?

离线

#260 2022-05-06 14:25:50

739374663
会员
注册时间: 2022-05-06
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

万万没想到现在这玩意居然也涨价了。

离线

#264 2022-12-03 19:09:27

hl1200aa
会员
注册时间: 2020-07-23
已发帖子: 10
积分: 10

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

yizolabs 说:
1847123212 说:
hzsteven 说:

链接呢?

只能咸鱼自己找了。大概这个样子的。

https://whycan.cn/files/members/2468/20200430_154900.jpg


这个没人玩吗?

刚开始玩

离线

楼主 #265 2022-12-03 19:19:58

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

hl1200aa 说:
yizolabs 说:
1847123212 说:

只能咸鱼自己找了。大概这个样子的。

https://whycan.cn/files/members/2468/20200430_154900.jpg


这个没人玩吗?

刚开始玩

这些应该都是大同小异的

离线

#266 2022-12-15 22:47:05

hl1200aa
会员
注册时间: 2020-07-23
已发帖子: 10
积分: 10

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

jcfcaonima 说:

接下来,就可以用它来学习FPGA了。成本最低的FPGA开发板(20块钱)+成本最低的xilinx调试器(30.9块钱)。
https://whycan.cn/files/members/2675/2020082904.jpg

还在玩吗?能不能带一下?刚刚学到原子蜂鸣器那章

离线

#268 2023-02-20 21:49:14

yijuanzhi
会员
注册时间: 2023-02-20
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

请教一下大家,在网上淘的时候,ebaz4025和ebaz4023这两个板子,外观上一摸一样,但是内存和细节布局不太一样,这两个板子在软件上都是通用的么?

离线

楼主 #269 2023-02-21 23:10:11

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

yijuanzhi 说:

请教一下大家,在网上淘的时候,ebaz4025和ebaz4023这两个板子,外观上一摸一样,但是内存和细节布局不太一样,这两个板子在软件上都是通用的么?

我估计差不多,这个芯片PS部分基本都是固定好的引脚编排

离线

#270 2023-02-22 07:22:10

可爱的小盆友们
会员
注册时间: 2022-04-14
已发帖子: 37
积分: 23

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

又一代神U出世了,fpga能模拟个USB3.0或者pcie出来吗?

离线

#271 2023-02-23 17:31:33

naypt
会员
注册时间: 2023-02-20
已发帖子: 6
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

FPGA理论什么都能模拟,但是你要有源码,自己搞不定

离线

楼主 #273 2023-04-18 11:28:46

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

可爱的小盆友们 说:

又一代神U出世了,fpga能模拟个USB3.0或者pcie出来吗?

这个型号的pfga没有高速接口 估计不太行

离线

#274 2023-04-30 10:31:18

mcsino
会员
注册时间: 2019-12-22
已发帖子: 21
积分: 36

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

买了一个极度相似的4203

离线

楼主 #275 2023-04-30 20:34:18

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

mcsino 说:

买了一个极度相似的4203

4203好像有两个内存位,更好吧

离线

#276 2023-05-03 07:22:30

mcsino
会员
注册时间: 2019-12-22
已发帖子: 21
积分: 36

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
mcsino 说:

买了一个极度相似的4203

4203好像有两个内存位,更好吧

但是没有原理图,感觉和4205差不多,只是排版不一样。其实什么都不用改,我主要用来做fpga
,jtag能用起来直接就可以跑了,不需要作任何修改

离线

#277 2023-05-03 07:37:44

mcsino
会员
注册时间: 2019-12-22
已发帖子: 21
积分: 36

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

%E5%BE%AE%E4%BF%A1%E5%9B%BE%E7%89%87_20230503073003.jpg
%E5%BE%AE%E4%BF%A1%E5%9B%BE%E7%89%87_20230503073143.jpg
%E5%BE%AE%E4%BF%A1%E5%9B%BE%E7%89%87_20230503073154.jpg

离线

#278 2023-05-03 07:51:52

mcsino
会员
注册时间: 2019-12-22
已发帖子: 21
积分: 36

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

某宝买了一个FT232(37块包邮)的模块 ad0~jtag_pin6 ad1~jtag_pin10 ad2~jtag_pin8 ad3~jtag_pin4 ,两个模块如果供电来源不一样,记住需要共地,连接好后一般需要截图的软件 刷一下jtag_sm2,这样就可以使用jtag了
%E6%97%A0%E6%A0%87%E9%A2%98.jpg

最近编辑记录 mcsino (2023-05-03 07:54:11)

离线

#280 2023-05-05 13:41:50

Copper
会员
注册时间: 2021-12-29
已发帖子: 30
积分: 60

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

“高级”ZYNQ不应该是7020起步么? wink

最近编辑记录 Copper (2023-05-05 13:42:13)

离线

#281 2023-05-05 13:46:08

Copper
会员
注册时间: 2021-12-29
已发帖子: 30
积分: 60

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

达克罗德 说:

焊了电源线后跑起来了,38元一个真是划算呀。Vivado必须装完整版的吗?我电脑空间不足

对于zynq系列的fpga,vivado可以用免费版的,再把zynq系列给选择安装上就行了。

离线

楼主 #282 2023-05-08 15:28:39

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

@mcsino
你用的这个ft232h配置软件是哪里弄的呀

离线

#283 2023-05-15 11:25:49

mcsino
会员
注册时间: 2019-12-22
已发帖子: 21
积分: 36

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

@posystorage,100楼的大侠提供的

离线

#286 2023-06-30 14:12:07

pldjn_V3S
会员
注册时间: 2021-04-09
已发帖子: 105
积分: 113

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

正好最近公司要做xc7z035的开发工作,进来学习,虽然晚了好几年,这贴太有用了.

离线

#288 2023-07-02 00:09:02

bd4bow
会员
注册时间: 2023-07-01
已发帖子: 3
积分: 3

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

晚了几年,上海鲜市场一看,价格还提坚挺。

离线

#289 2023-09-01 11:07:05

little_player
会员
注册时间: 2023-09-01
已发帖子: 6
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

价格高了不少

离线

#293 2023-09-19 13:12:15

Life
会员
注册时间: 2022-02-05
已发帖子: 3
积分: 3

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

@posystorage
谢谢分享 太感谢了!

离线

#295 2023-11-28 08:53:09

wangchen
会员
注册时间: 2023-11-28
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

哇哦这么多资料,上手一块

离线

#296 2023-12-08 22:52:31

wspytu
会员
注册时间: 2023-12-08
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

找到组织了,入手一块T9,用心学习

离线

#298 2024-01-21 15:09:04

Dfc
会员
注册时间: 2024-01-15
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这个怎么和那个7010的不一样

离线

#299 2024-01-30 17:45:32

liberty
会员
注册时间: 2023-03-05
已发帖子: 7
积分: 12

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

@mcsino
EBAZ4205/4203我都有一张,4203加内存是直接焊上去就行吗?需不需要改电阻啥的?
另外,DDR3颗粒可以互换吗?比如MT41K128M16JT升级换成MT41K256M16TW,但vivado上没看到TW的,内存型号应该怎么选择?
4205的内存型号是D9PTK(MT41K128M16JT-125:K),4203的是EM6GE16EWXD-12H,我的想法是,EM6GE16EWXD-12H换到4205上,再给4203焊两颗MT41K256M16TW-107,这样4205就有512M,4203有1G,不知道这样是否可行。

离线

#300 2024-02-03 14:54:42

dovahiobbg
会员
注册时间: 2024-02-03
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这个支持pcie吗 想着fpga端再模拟一个软核cortexm3

离线

楼主 #301 2024-02-05 22:47:19

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

dovahiobbg 说:

这个支持pcie吗 想着fpga端再模拟一个软核cortexm3

不支持,要用xc7z015

离线

楼主 #302 2024-02-05 22:55:14

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

@liberty
没有影响,随便搞啦!我的两颗容量不一样的颗粒贴上去都能过内存测试的(当然总容量是小的那个颗粒的两倍啦)
2_20240205-2253.jpg

离线

页脚

工信部备案:粤ICP备20025096号 Powered by FluxBB

感谢为中文互联网持续输出优质内容的各位老铁们。 QQ: 516333132, 微信(wechat): whycan_cn (哇酷网/挖坑网/填坑网) service@whycan.cn