您尚未登录。

楼主 #1 2019-03-31 23:38:41

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

zynq算是xilinx家比较名气大的系列了吧?这玩意有意思在吧arm处理器和fpga做在一起搞成个soc,兼顾高速处理和应用开发?
因为fpga是可以挂在arm的总线上的,所以fpga和arm之间的通讯很快,比如有台湾固伟就用这玩意做示波器,从高速adc来的芯片直接进zynq,再挂组sdram,arm侧接用户界面,一片集成直接搞定。

作为垃圾佬,这次看见有便宜的板子(价格两位数一片),就直接剁手了。毕竟这玩意,新的似乎都是几百上千。
撸了两片
板子这样
1
正面
1
背面
1
芯片细节 zynq xc7z010 256m的ddr 128m的nand 百兆网口
1
撸开xilinx的手册看看
芯片简述
ARM侧-PS部分
1
FPGA侧-PL部分
1
芯片结构
1
确认mark的意义
1
对应的配置 PS部分-双核 ARM Cortex-A9  速度等级 最垃圾的-1 对应667mhz
1
对应的配置 PL部分-有28K可编程逻辑单元 17K的LUT
1
封装信息
1

需要补焊个二极管才能供电
1
开机电流 5V下供电 约300ma 虽然是要12V 但是似乎5V也能愉快的跑
1
板子上有个tf卡座 没焊 似乎有jtag调试口
预留有串口 上电接115200 8n1可以看到调试信息输出

上电开机很慢
要差不多快一分钟 开机完成闪红灯

部分开机信息-里面反正是跑了linux

U-Boot 2015.07 (Jun 28 2017 - 21:05:18 +0800)

Model: Zynq Zed Development Board
DRAM:  ECC disabled 256 MiB
NAND:  128 MiB
MMC:   zynq_sdhci: 0
Invalid bus 0 (err=-1)
*** Warning - spi_flash_probe() failed, using default environment

In:    serial
Out:   serial
Err:   serial
Model: Zynq Zed Development Board
Net:   Gem.e000b000
Hit 'd' to stop autoboot(eric):  3  2  1  0 
Copying Linux from NAND flash to RAM...

Device 0: nand0, sector size 128 KiB
  Page size       2048 b
  OOB size          64 b
  Erase size    131072 b
  subpagesize      512 b
  options     0x       0
  bbt options 0x   20000

NAND read: device 0 offset 0x2220000, size 0x300000
 3145728 bytes read: OK
  design filename = "top_ctrl;UserID=0XFFFFFFFF;Version=2016.4"
  part number = "7z010clg400"
  date = "2017/10/17"
  time = "09:59:49"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100068 to 100000(swap 1)

NAND read: device 0 offset 0x300000, size 0x500000
 5242880 bytes read: OK

NAND read: device 0 offset 0x800000, size 0x20000
 131072 bytes read: OK
## Booting kernel from Legacy Image at 02080000 ...
   Image Name:   Linux-4.6.0-xilinx
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    3820688 Bytes = 3.6 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Device Tree to 0f2fe000, end 0f303b90 ... OK

Starting kernel ...

Booting Linux on physical CPU 0x0
Linux version 4.6.0-xilinx (root@alvin) (gcc version 5.2.0 (GCC) ) #5 SMP PREEMPT Mon May 8 14:36:49 CST 2017
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
Machine model: xlnx,zynq-7000
cma: Reserved 16 MiB at 0x0e000000
Memory policy: Data cache writealloc
percpu: Embedded 12 pages/cpu @cfdce000 s19776 r8192 d21184 u49152
Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 65024
Kernel command line: console=ttyPS0,115200 root=/dev/mtdblock6 rootfstype=jffs2 noinitrd rw rootwait
PID hash table entries: 1024 (order: 0, 4096 bytes)
Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
Memory: 234624K/262144K available (5286K kernel code, 231K rwdata, 1848K rodata, 1024K init, 226K bss, 11136K reserved, 16384K cma-reserved, 0K highmem)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
    lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
    pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    modules : 0xbf000000 - 0xbfe00000   (  14 MB)
      .text : 0xc0008000 - 0xc07f7850   (8127 kB)
      .init : 0xc0800000 - 0xc0900000   (1024 kB)
      .data : 0xc0900000 - 0xc0939e60   ( 232 kB)
       .bss : 0xc0939e60 - 0xc0972764   ( 227 kB)
Preemptible hierarchical RCU implementation.
	Build-time adjustment of leaf fanout to 32.
	RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
NR_IRQS:16 nr_irqs:16 16
efuse mapped to d0800000
slcr mapped to d0802000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init: clkc starts at d0802100
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce076117e, max_idle_ns: 440795215954 ns
Switching to timer-based delay loop, resolution 3ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 at d080a000, irq=17
Console: colour dummy device 80x30
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333330)
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
CPU: Testing write buffer coherency: ok
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x100000 - 0x100058
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
Brought up 2 CPUs
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0880000
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
vgaarb: loaded
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
media: Linux media interface: v0.10
Linux video capture interface: v2.00
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
EDAC MC: Ver: 3.0.0
Advanced Linux Sound Architecture Driver Initialized.
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
TCP established hash table entries: 2048 (order: 1, 8192 bytes)
TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
TCP: Hash tables configured (established 2048 bind 2048)
UDP hash table entries: 256 (order: 1, 8192 bytes)
UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
futex hash table entries: 512 (order: 3, 32768 bytes)
workingset: timestamp_bits=28 max_order=16 bucket_order=0
jffs2: version 2.2. (NAND) (SUMMARY)  漏 2001-2006 Red Hat, Inc.
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac: 	DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
42c00000.serial: ttyUL2 at MMIO 0x42c00000 (irq = 166, base_baud = 0) is a uartlite
e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 144, base_baud = 3124996) is a xuartps
e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 145, base_baud = 3124996) is a xuartps
console [ttyPS0] enabled
xdevcfg f8007000.devcfg: ioremap 0xf8007000 to d0872000
[drm] Initialized drm 1.1.0 20060810
brd: module loaded
loop: module loaded
CAN device driver interface
gpiod_set_value: invalid GPIO
libphy: MACB_mii_bus: probed
macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 148 (00:0a:35:00:01:22)
ICPlus IP101A/G e000b000.etherne:00: attached PHY driver [ICPlus IP101A/G] (mii_bus:phy_addr=e000b000.etherne:00, irq=-1)
e1000e: Intel(R) PRO/1000 Network Driver - 3.2.6-k
e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
mousedev: PS/2 mouse device common for all mice
i2c /dev entries driver
rtc-pcf8563 0-0051: chip found, driver version 0.4.4
rtc-pcf8563 0-0051: pcf8563_write_block_data: err=-11 addr=0e, data=03
rtc-pcf8563 0-0051: pcf8563_probe: write error
rtc-pcf8563: probe of 0-0051 failed with error -5
cdns-i2c e0004000.i2c: 400 kHz mmio e0004000 irq 141
EDAC MC: ECC not enabled
Xilinx Zynq CpuIdle Driver started
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
mmc0: SDHCI controller on e0100000.sdhci [e0100000.sdhci] using DMA
ledtrig-cpu: registered to indicate activity on CPUs
usbcore: registered new interface driver usbhid
usbhid: USB HID core driver
nand: device found, Manufacturer ID: 0xef, Chip ID: 0xf1
nand: Unknown W29N01HV
nand: 128 MiB, SLC, erase size: 128 KiB, page size: 2048, OOB size: 64
Bad block table found at page 65472, version 0x01
Bad block table found at page 65408, version 0x01
nand_read_bbt: bad block at 0x000007f60000
nand_read_bbt: bad block at 0x000007f80000
nand_read_bbt: bad block at 0x000007fa0000
nand_read_bbt: bad block at 0x000007fc0000
9 ofpart partitions found on MTD device pl35x-nand
Creating 9 MTD partitions on "pl35x-nand":
0x000000000000-0x000000300000 : "nand-fsbl-uboot"
0x000000300000-0x000000800000 : "nand-linux"
0x000000800000-0x000000820000 : "nand-device-tree"
0x000000820000-0x000001220000 : "nand-rootfs"
0x000001220000-0x000002220000 : "nand-jffs2"
0x000002220000-0x000002a20000 : "nand-bitstream"
0x000002a20000-0x000006a20000 : "nand-allrootfs"
0x000006a20000-0x000007e00000 : "nand-release"
0x000007e00000-0x000008000000 : "nand-reserve"
NET: Registered protocol family 10
sit: IPv6 over IPv4 tunneling driver
NET: Registered protocol family 17
can: controller area network core (rev 20120528 abi 9)
NET: Registered protocol family 29
can: raw protocol (rev 20120528)
can: broadcast manager protocol (rev 20120528 t)
can: netlink gateway (rev 20130117) max_hops=1
Registering SWP/SWPB emulation handler
hctosys: unable to open rtc device (rtc0)
ALSA device list:
  No soundcards found.
VFS: Mounted root (jffs2 filesystem) on device 31:6.
devtmpfs: mounted
Freeing unused kernel memory: 1024K (c0800000 - c0900000)
jffs2: warning: (1) jffs2_sum_write_data: Not enough space for summary, padsize = -16

INIT: version 2.88 booting

random: dd urandom read with 1 bits of entropy available
Wed Jul 21 00:51:05 UTC 2010

Starting internet superserver: inetd.

jffs2: notice: (721) check_node_data: wrong data CRC in data node at 0x03edeccc: read 0x279c67f2, calculated 0x2bbf6f3e.
IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready
appstartup .....

rm: can't remove '/tmp/*': No such file or directory

0

BANK0

appstartup ..... end


INIT: Entering runlevel: 5


Configuring network interfaces... done.

Starting Dropbear SSH server: dropbear.

Starting syslogd/klogd: done



PetaLinux 2016.4 zedboard-zynq7 /dev/ttyPS0

最近编辑记录 posystorage (2019-03-31 23:53:02)

离线

#2 2019-03-31 23:48:05

smartcar
会员
注册时间: 2018-02-19
已发帖子: 735
积分: 735

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

大写的服!

离线

楼主 #3 2019-03-31 23:49:26

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

在某论坛找到了原理图和PCB文件
原理图是pdf格式,pcb文件用AD可以打开 具体下载见附件
讲道理他们挺厉害的 居然能搞到这玩意
如图:
1
pcb是四层板
top和bottom走信号 中间两层电源
1
1
1
1

资料包:附件
https://whycan.cn/files/members/884/zynq.7z

上车方法:
1

离线

#4 2019-04-01 07:35:35

Geek
会员
注册时间: 2017-09-17
已发帖子: 13
积分: 13

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

前排强势围观, 我去闲鱼搜搜.

离线

#5 2019-04-01 08:37:18

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

感谢 @posystorage 大神分享!!!





离线

#6 2019-04-01 08:49:01

达克罗德
会员
注册时间: 2018-04-10
已发帖子: 1,133
积分: 1085.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

纯围观,这对我太难了,哭

最近编辑记录 达克罗德 (2019-04-01 08:49:30)

离线

#7 2019-04-01 09:03:53

jimmy
会员
注册时间: 2017-10-29
已发帖子: 316
积分: 315

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

咸鱼搜了一下,最便宜的卖38包邮,年纪大没有精力玩,机会让给年轻人吧。

离线

#8 2019-04-01 10:11:27

metro
会员
注册时间: 2019-03-09
已发帖子: 442
积分: 486

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

闲鱼40一块捡了几块,希望到时别翻车 tongue

离线

楼主 #9 2019-04-01 10:29:41

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

metro 说:

闲鱼40一块捡了几块,希望到时别翻车 tongue

来来来,一起玩

离线

楼主 #10 2019-04-01 10:31:06

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

达克罗德 说:

纯围观,这对我太难了,哭

里面主要还是折腾跑linux,主线是linux,副本才是fpga

离线

楼主 #11 2019-04-01 10:35:19

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

晕哥 说:

感谢 @posystorage 大神分享!!!

晕哥要不要上车一起来玩?

离线

#12 2019-04-01 10:48:18

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
晕哥 说:

感谢 @posystorage 大神分享!!!

晕哥要不要上车一起来玩?

好想啊, 但是手里一堆事, 怕买来有吃灰了。





离线

#13 2019-04-01 14:17:21

qianhao
会员
注册时间: 2017-12-14
已发帖子: 135
积分: 119

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

不错不错  希望你们带好队 我强势围观

离线

#14 2019-04-01 22:35:16

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

QQ20190401223353.jpg

QQ20190401223346.jpg




楼主在 QQ 上给我发的图片, 已经可以用 JLINK 检测到芯片了.





离线

#15 2019-04-02 08:26:26

tom
会员
注册时间: 2018-03-14
已发帖子: 128
积分: 127.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这个矿渣不错,可以咱手里zynq的板子太多了。jlink很强大:)

离线

楼主 #16 2019-04-02 13:45:34

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

调试口原理图
3
调试口位置
3
启动脚定义
3
3
启动脚详细标注
3
3

离线

#17 2019-04-02 14:03:09

smartcar
会员
注册时间: 2018-02-19
已发帖子: 735
积分: 735

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

啊啊啊,感觉这个矿渣板子的底裤都被楼主掀开了

离线

#18 2019-04-02 15:24:28

达克罗德
会员
注册时间: 2018-04-10
已发帖子: 1,133
积分: 1085.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

别说,这板子质量可以

离线

楼主 #19 2019-04-02 15:26:44

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

达克罗德 说:

别说,这板子质量可以

来一起玩啊,资料都有了,赶紧上车

离线

#20 2019-04-02 15:41:41

达克罗德
会员
注册时间: 2018-04-10
已发帖子: 1,133
积分: 1085.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
达克罗德 说:

别说,这板子质量可以

来一起玩啊,资料都有了,赶紧上车

想玩,但是老了没精力了。。

离线

#21 2019-04-04 17:27:24

aqulia
会员
注册时间: 2019-04-04
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

手上也有快这个板子,一直没时间折腾,看来空了折腾下

离线

#22 2019-04-04 19:09:38

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

QQ20190404190647.jpg

QQ20190404190651.jpg

QQ20190404190714.jpg

在楼主的"忽悠"下, 我买了两片.

试了一下, 两片都正常.

串口均能输出.





离线

#23 2019-04-04 22:04:00

达克罗德
会员
注册时间: 2018-04-10
已发帖子: 1,133
积分: 1085.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

哪个链接购买的?OK率很高

离线

#24 2019-04-04 22:24:59

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我买的是这个:   https://2.taobao.com/item.htm?id=590160368538



卖完了。。。。





离线

楼主 #25 2019-04-05 16:15:17

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

学习资料和链接
黑金家开发板的 面向歪果仁的资料
在gayhub上面https://github.com/alinxalinx/AX7010 有点大,下载下来有1.5G,用ida+挂代理来的快,不然下太久了
1
1
1

最近编辑记录 posystorage (2019-04-05 16:21:13)

离线

楼主 #26 2019-04-05 16:19:01

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

黑金家的一些PDF教程
他那个垃圾论坛,下载很麻烦,回复可见+反人类验证码+2分钟允许发一贴+一小时允许发10贴。真的想打人
https://whycan.cn/files/members/884/AX7010.7z
1

最近编辑记录 posystorage (2019-04-05 16:22:31)

离线

#27 2019-04-05 18:01:24

jw__liu
会员
注册时间: 2019-01-18
已发帖子: 40
积分: 40

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

严重  mark

离线

#28 2019-04-05 21:32:09

metro
会员
注册时间: 2019-03-09
已发帖子: 442
积分: 486

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

刚刚我也试过了,Vivado可以正确识别板子,好评 wink

JTAG的定义和官方兼容,可以直接用FPGA下载线连接。

1.jpg

Vivado

2.jpg

离线

楼主 #29 2019-04-06 00:05:44

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

metro 说:

刚刚我也试过了,Vivado可以正确识别板子,好评 wink

JTAG的定义和官方兼容,可以直接用FPGA下载线连接。

https://whycan.cn/files/members/1510/1.jpg

Vivado

https://whycan.cn/files/members/1510/2.jpg

是的,就是标准的jtag接口,这个好评

离线

楼主 #30 2019-04-06 00:10:08

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

继续提供一发资料
米尔科技的资料
http://d.myirtech.com/MYD-Y7Z010_07S
服务器在美西,建议开proxy搞,不开200k,开了8m+
直接下载这个iso就行,是打包好的
http://d.myirtech.com/MYD-Y7Z010_07S/MYD-Y7Z010-07S-V1_1-20180803.iso

4
4

离线

#31 2019-04-06 06:43:44

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

进展神速,跟不上大神节奏了, 捂脸.gif





离线

#32 2019-04-06 19:43:12

EddyZhan
会员
注册时间: 2018-05-11
已发帖子: 59
积分: 153.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这个电源供电的插接件什么型号的呢?

离线

#33 2019-04-06 20:09:25

metro
会员
注册时间: 2019-03-09
已发帖子: 442
积分: 486

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

EddyZhan 说:

这个电源供电的插接件什么型号的呢?

某宝搜5557就有

离线

#34 2019-04-06 20:20:14

smartcar
会员
注册时间: 2018-02-19
已发帖子: 735
积分: 735

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

EddyZhan 说:

这个电源供电的插接件什么型号的呢?

有点像这个: https://item.taobao.com/item.htm?id=550010020057
还得自己接端子打线, 感觉麻烦.


不如自己焊根线最方便.

离线

#35 2019-04-06 20:26:24

smartcar
会员
注册时间: 2018-02-19
已发帖子: 735
积分: 735

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

metro 说:
EddyZhan 说:

这个电源供电的插接件什么型号的呢?

某宝搜5557就有

https://item.taobao.com/item.htm?id=582581370950

厉害, 原来是这个哦, 6P 两条 4块钱, 不过还是得自己接个 DC 座.

离线

#36 2019-04-09 15:46:10

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:

继续提供一发资料
米尔科技的资料
http://d.myirtech.com/MYD-Y7Z010_07S
服务器在美西,建议开proxy搞,不开200k,开了8m+
直接下载这个iso就行,是打包好的
http://d.myirtech.com/MYD-Y7Z010_07S/MYD-Y7Z010-07S-V1_1-20180803.iso

https://whycan.cn/files/members/884/TIM20190406000829.jpg
https://whycan.cn/files/members/884/TIM20190406000852.jpg

分享一个临时链接,国内的一台10mbps的机器。感谢晕哥帮忙下载!!@晕哥
http://123.249.3.146:20385/MYD-Y7Z010-07S-V1_1-20180803.iso

离线

#37 2019-04-09 17:52:28

达克罗德
会员
注册时间: 2018-04-10
已发帖子: 1,133
积分: 1085.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xiaohui 说:
posystorage 说:

继续提供一发资料
米尔科技的资料
http://d.myirtech.com/MYD-Y7Z010_07S
服务器在美西,建议开proxy搞,不开200k,开了8m+
直接下载这个iso就行,是打包好的
http://d.myirtech.com/MYD-Y7Z010_07S/MYD-Y7Z010-07S-V1_1-20180803.iso

https://whycan.cn/files/members/884/TIM20190406000829.jpg
https://whycan.cn/files/members/884/TIM20190406000852.jpg

分享一个临时链接,国内的一台10mbps的机器。感谢晕哥帮忙下载!!@晕哥
http://123.249.3.146:20385/MYD-Y7Z010-07S-V1_1-20180803.iso

我也入坑了,买了两个。不过楼上你的链接失效了好像

离线

#38 2019-04-09 17:54:29

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

达克罗德 说:
xiaohui 说:
posystorage 说:

继续提供一发资料
米尔科技的资料
http://d.myirtech.com/MYD-Y7Z010_07S
服务器在美西,建议开proxy搞,不开200k,开了8m+
直接下载这个iso就行,是打包好的
http://d.myirtech.com/MYD-Y7Z010_07S/MYD-Y7Z010-07S-V1_1-20180803.iso

https://whycan.cn/files/members/884/TIM20190406000829.jpg
https://whycan.cn/files/members/884/TIM20190406000852.jpg

分享一个临时链接,国内的一台10mbps的机器。感谢晕哥帮忙下载!!@晕哥
http://123.249.3.146:20385/MYD-Y7Z010-07S-V1_1-20180803.iso

我也入坑了,买了两个。不过楼上你的链接失效了好像

刚试了,没问题,可以正常下载,  http://123.249.3.146:20385/MYD-Y7Z010-07S-V1_1-20180803.iso

离线

#39 2019-04-09 17:55:13

3DA502
会员
注册时间: 2018-08-18
已发帖子: 17
积分: 11.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我这个板子已经跑起来Ubuntu了,用的是友善NAnoPi群II【复制粘贴协会会长】提供的镜像

离线

#40 2019-04-09 19:36:11

smartcar
会员
注册时间: 2018-02-19
已发帖子: 735
积分: 735

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

3DA502 说:

我这个板子已经跑起来Ubuntu了,用的是友善NAnoPi群II【复制粘贴协会会长】提供的镜像

我刚刚已经加入了这个群 92830194

请问我应该下载哪个文件呢? 有没有带路手册?

期待回复

离线

楼主 #41 2019-04-09 19:48:26

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

3DA502 说:

我这个板子已经跑起来Ubuntu了,用的是友善NAnoPi群II【复制粘贴协会会长】提供的镜像

请问下该怎么弄呢?求带路

离线

#42 2019-04-10 15:36:04

3DA502
会员
注册时间: 2018-08-18
已发帖子: 17
积分: 11.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

没有带路手册!!!

下载ebaz4205文件夹,里面有个镜像xillinux-2.0.img

把这个镜像烧入TF卡,板子启动电阻设为从TF卡启动,就是拆掉R2584上的电阻,焊接到R2577
焊上SD卡座
然后还有。。。
把启动分区,就是TF卡的FAT32分区,里面的uimage删掉
把文件夹里面的boot.bin   system.bit.bin   uimage Env.txt 等复制到fat32 分区

最近编辑记录 3DA502 (2019-04-10 18:09:48)

离线

#43 2019-04-10 17:23:08

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

3DA502 说:

没有带路手册!!!

下载ebaz4205文件夹,里面有个镜像xillinux-2.0.img

把这个镜像烧入TF卡,板子启动电阻设为从TF卡启动
然后还有。。。

谢谢大神,
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816

最近编辑记录 晕哥 (2019-04-10 17:32:51)





离线

#44 2019-04-10 17:58:03

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

晕哥 说:
3DA502 说:

没有带路手册!!!

下载ebaz4205文件夹,里面有个镜像xillinux-2.0.img

把这个镜像烧入TF卡,板子启动电阻设为从TF卡启动
然后还有。。。

谢谢大神,
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816

debian和ubuntu的编译说明:https://www.digikey.com/eewiki/display/linuxonarm/Zynq-7000#Zynq-7000-Ubuntu18.04LTS

离线

#45 2019-04-10 18:11:38

3DA502
会员
注册时间: 2018-08-18
已发帖子: 17
积分: 11.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

晕哥 说:
3DA502 说:

没有带路手册!!!

下载ebaz4205文件夹,里面有个镜像xillinux-2.0.img

把这个镜像烧入TF卡,板子启动电阻设为从TF卡启动
然后还有。。。

谢谢大神,
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816
我现在把nanopi 群附件都拷贝到  新qq群了 :634396816

不是大神,Linux大菜鸟

离线

#46 2019-04-10 19:08:49

duckduckgo
会员
注册时间: 2018-12-13
已发帖子: 58
积分: 43

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

真会玩

离线

#47 2019-04-11 11:04:34

达克罗德
会员
注册时间: 2018-04-10
已发帖子: 1,133
积分: 1085.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

MYD-Y7Z010-07S-V1_1-20180803.iso 我下载到百度网盘了,分享给大家
链接: https://pan.baidu.com/s/1XQEWe5SNHIFUBgG4pOnL-A
提取码:gl83

离线

#48 2019-04-11 11:21:04

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

达克罗德 说:

MYD-Y7Z010-07S-V1_1-20180803.iso 我下载到百度网盘了,分享给大家
链接: https://pan.baidu.com/s/1XQEWe5SNHIFUBgG4pOnL-A
提取码:gl83

感谢分享!





离线

#49 2019-04-11 17:23:50

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

3DA502 说:

我这个板子已经跑起来Ubuntu了,用的是友善NAnoPi群II【复制粘贴协会会长】提供的镜像

你好,方便发现启动电阻是哪一个吗?还有怎么改?谢谢

离线

楼主 #50 2019-04-11 20:48:12

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xiaohui 说:
3DA502 说:

我这个板子已经跑起来Ubuntu了,用的是友善NAnoPi群II【复制粘贴协会会长】提供的镜像

你好,方便发现启动电阻是哪一个吗?还有怎么改?谢谢

仔细读贴,帖子前面有写

离线

#51 2019-04-11 23:01:53

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
xiaohui 说:
3DA502 说:

我这个板子已经跑起来Ubuntu了,用的是友善NAnoPi群II【复制粘贴协会会长】提供的镜像

你好,方便发现启动电阻是哪一个吗?还有怎么改?谢谢

仔细读贴,帖子前面有写

D2的标记有点偏,不太确定是哪一个。谢谢大佬指路

离线

#52 2019-04-12 09:18:57

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

3DA502 说:

没有带路手册!!!

下载ebaz4205文件夹,里面有个镜像xillinux-2.0.img

把这个镜像烧入TF卡,板子启动电阻设为从TF卡启动,就是拆掉R2584上的电阻,焊接到R2577
焊上SD卡座
然后还有。。。
把启动分区,就是TF卡的FAT32分区,里面的uimage删掉
把文件夹里面的boot.bin   system.bit.bin   uimage Env.txt 等复制到fat32 分区

昨天的调试,接上tf卡,看了下nand启动的打印信息,mmc的大小识别正常,而且好像都挂载上了,没账号密码没办法确定是否完全正常,然后对调了电阻发现还是不能启动。

离线

#53 2019-04-12 09:21:56

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xillinux-2.0.img 这个系统的账号密码没有对吗?





离线

#54 2019-04-12 09:23:30

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

晕哥 说:

xillinux-2.0.img 这个系统的账号密码没有对吗?

uboot也没起来,不过我好像找到原因了,我没看到楼上大佬的回复,只把uimage拷贝到了fat分区。。。。。刚看了system.bit的作用,汗了

离线

#55 2019-04-12 09:28:59

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xiaohui 说:
晕哥 说:

xillinux-2.0.img 这个系统的账号密码没有对吗?

uboot也没起来,不过我好像找到原因了,我没看到楼上大佬的回复,只把uimage拷贝到了fat分区。。。。。刚看了system.bit的作用,汗了

找到了一遍文章(http://www.360doc.com/content/13/1113/20/8744436_328989607.shtml)

离线

#56 2019-04-12 09:41:21

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xiaohui 说:
xiaohui 说:
晕哥 说:

xillinux-2.0.img 这个系统的账号密码没有对吗?

uboot也没起来,不过我好像找到原因了,我没看到楼上大佬的回复,只把uimage拷贝到了fat分区。。。。。刚看了system.bit的作用,汗了

找到了一遍文章(http://www.360doc.com/content/13/1113/20/8744436_328989607.shtml)

找到一个制作镜像的教程【未验证】(https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841996/Linux)

离线

#57 2019-04-13 08:24:43

ljbfly
会员
注册时间: 2017-12-07
已发帖子: 37
积分: 27

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我用Vivado不能识别板子,jlink可以连上,有什么注意事项吗,需要复位按键吗?

离线

楼主 #58 2019-04-13 15:48:23

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

用这个镜像xillinux-2.0.img
能跑uboot但是进不了系统
1

离线

#59 2019-04-13 16:19:29

smartcar
会员
注册时间: 2018-02-19
已发帖子: 735
积分: 735

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

不是已经有了命令提示符吗,说明已经进入系统了啊

离线

楼主 #60 2019-04-13 16:29:36

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

smartcar 说:

不是已经有了命令提示符吗,说明已经进入系统了啊

大佬说这是initramfs  这个镜像应该是乌班图

离线

#61 2019-04-13 17:04:09

smartcar
会员
注册时间: 2018-02-19
已发帖子: 735
积分: 735

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
smartcar 说:

不是已经有了命令提示符吗,说明已经进入系统了啊

大佬说这是initramfs  这个镜像应该是乌班图

原来如此。
根据以往经验,最好 TF卡dump出来的文件自己验证之后给个哈希码(hash),否则出了问题都不知道是哪里的问题。

最近编辑记录 smartcar (2019-04-13 17:04:35)

离线

#62 2019-04-14 20:25:04

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

1.0.0.46 (Nov 28 2017 - 20:56:04 +0800)

Model: Zynq Zed Development Board
DRAM:  ECC disabled 256 MiB
NAND:  128 MiB
MMC:   zynq_sdhci: 0
Invalid bus 0 (err=-1)
*** Warning - spi_flash_probe() failed, using default environment

In:    serial
Out:   serial
Err:   serial
Model: Zynq Zed Development Board
Net:   Gem.e000b000
Hit 'd' to stop autoboot(eric):  0
Copying Linux from NAND flash to RAM...

Device 0: nand0, sector size 128 KiB
  Page size       2048 b
  OOB size          64 b
  Erase size    131072 b
  subpagesize      512 b
  options     0x       0
  bbt options 0x   20000

NAND read: device 0 offset 0x2220000, size 0x300000
 3145728 bytes read: OK
  design filename = "top_ctrl;UserID=0XFFFFFFFF;Version=2016.4"
  part number = "7z010clg400"
  date = "2017/11/28"
  time = "13:25:29"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100068 to 100000(swap 1)

NAND read: device 0 offset 0x300000, size 0x500000
 5242880 bytes read: OK

NAND read: device 0 offset 0x800000, size 0x20000
 131072 bytes read: OK
## Booting kernel from Legacy Image at 02080000 ...
   Image Name:   Linux-4.6.0-xilinx
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    3820688 Bytes = 3.6 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Device Tree to 0f2fe000, end 0f303b90 ... OK

Starting kernel ...

Booting Linux on physical CPU 0x0
Linux version 4.6.0-xilinx (root@alvin) (gcc version 5.2.0 (GCC) ) #5 SMP PREEMPT Mon May 8 14:36:49 CST 2017
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
Machine model: xlnx,zynq-7000
cma: Reserved 16 MiB at 0x0e000000
Memory policy: Data cache writealloc
percpu: Embedded 12 pages/cpu @cfdce000 s19776 r8192 d21184 u49152
Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 65024
Kernel command line: console=ttyPS0,115200 root=/dev/mtdblock6 rootfstype=jffs2 noinitrd rw rootwait
PID hash table entries: 1024 (order: 0, 4096 bytes)
Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
Memory: 234624K/262144K available (5286K kernel code, 231K rwdata, 1848K rodata, 1024K init, 226K bss, 11136K reserved, 16384K cma-reserved, 0K highmem)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
    lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
    pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    modules : 0xbf000000 - 0xbfe00000   (  14 MB)
      .text : 0xc0008000 - 0xc07f7850   (8127 kB)
      .init : 0xc0800000 - 0xc0900000   (1024 kB)
      .data : 0xc0900000 - 0xc0939e60   ( 232 kB)
       .bss : 0xc0939e60 - 0xc0972764   ( 227 kB)
Preemptible hierarchical RCU implementation.
        Build-time adjustment of leaf fanout to 32.
        RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
NR_IRQS:16 nr_irqs:16 16
efuse mapped to d0800000
slcr mapped to d0802000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init: clkc starts at d0802100
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce076117e, max_idle_ns: 440795215954 ns
Switching to timer-based delay loop, resolution 3ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 at d080a000, irq=17
Console: colour dummy device 80x30
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333330)
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
CPU: Testing write buffer coherency: ok
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x100000 - 0x100058
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
Brought up 2 CPUs
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0880000
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
vgaarb: loaded
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
media: Linux media interface: v0.10
Linux video capture interface: v2.00
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
EDAC MC: Ver: 3.0.0
Advanced Linux Sound Architecture Driver Initialized.
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
TCP established hash table entries: 2048 (order: 1, 8192 bytes)
TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
TCP: Hash tables configured (established 2048 bind 2048)
UDP hash table entries: 256 (order: 1, 8192 bytes)
UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
futex hash table entries: 512 (order: 3, 32768 bytes)
workingset: timestamp_bits=28 max_order=16 bucket_order=0
jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac:        DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
42c00000.serial: ttyUL2 at MMIO 0x42c00000 (irq = 166, base_baud = 0) is a uartlite
e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 144, base_baud = 3124996) is a xuartps
e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 145, base_baud = 3124996) is a xuartps
console [ttyPS0] enabled
xdevcfg f8007000.devcfg: ioremap 0xf8007000 to d0872000
[drm] Initialized drm 1.1.0 20060810
brd: module loaded
loop: module loaded
CAN device driver interface
gpiod_set_value: invalid GPIO
libphy: MACB_mii_bus: probed
macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 148 (00:0a:35:00:01:22)
ICPlus IP101A/G e000b000.etherne:00: attached PHY driver [ICPlus IP101A/G] (mii_bus:phy_addr=e000b000.etherne:00, irq=-1)
e1000e: Intel(R) PRO/1000 Network Driver - 3.2.6-k
e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
mousedev: PS/2 mouse device common for all mice
i2c /dev entries driver
rtc-pcf8563 0-0051: chip found, driver version 0.4.4
rtc-pcf8563 0-0051: pcf8563_write_block_data: err=-11 addr=0e, data=03
rtc-pcf8563 0-0051: pcf8563_probe: write error
rtc-pcf8563: probe of 0-0051 failed with error -5
cdns-i2c e0004000.i2c: 400 kHz mmio e0004000 irq 141
EDAC MC: ECC not enabled
Xilinx Zynq CpuIdle Driver started
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
mmc0: SDHCI controller on e0100000.sdhci [e0100000.sdhci] using DMA
ledtrig-cpu: registered to indicate activity on CPUs
usbcore: registered new interface driver usbhid
usbhid: USB HID core driver
nand: device found, Manufacturer ID: 0xef, Chip ID: 0xf1
nand: Unknown W29N01HV
nand: 128 MiB, SLC, erase size: 128 KiB, page size: 2048, OOB size: 64
Bad block table found at page 65472, version 0x01
Bad block table found at page 65408, version 0x01
nand_read_bbt: bad block at 0x000007f60000
nand_read_bbt: bad block at 0x000007f80000
nand_read_bbt: bad block at 0x000007fa0000
nand_read_bbt: bad block at 0x000007fc0000
9 ofpart partitions found on MTD device pl35x-nand
Creating 9 MTD partitions on "pl35x-nand":
0x000000000000-0x000000300000 : "nand-fsbl-uboot"
0x000000300000-0x000000800000 : "nand-linux"
0x000000800000-0x000000820000 : "nand-device-tree"
mmc0: new high speed SDHC card at address 0007
0x000000820000-0x000001220000 : "nand-rootfs"
mmcblk0: mmc0:0007 SD32G 29.0 GiB
 mmcblk0: p1
0x000001220000-0x000002220000 : "nand-jffs2"
0x000002220000-0x000002a20000 : "nand-bitstream"
0x000002a20000-0x000006a20000 : "nand-allrootfs"
0x000006a20000-0x000007e00000 : "nand-release"
0x000007e00000-0x000008000000 : "nand-reserve"
NET: Registered protocol family 10
sit: IPv6 over IPv4 tunneling driver
NET: Registered protocol family 17
can: controller area network core (rev 20120528 abi 9)
NET: Registered protocol family 29
can: raw protocol (rev 20120528)
can: broadcast manager protocol (rev 20120528 t)
can: netlink gateway (rev 20130117) max_hops=1
Registering SWP/SWPB emulation handler
hctosys: unable to open rtc device (rtc0)
ALSA device list:
  No soundcards found.
VFS: Mounted root (jffs2 filesystem) on device 31:6.
devtmpfs: mounted
Freeing unused kernel memory: 1024K (c0800000 - c0900000)
INIT: version 2.88 booting
FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
random: dd urandom read with 2 bits of entropy available
Wed Jul 21 02:50:29 UTC 2010
Starting internet superserver: inetd.
IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready
appstartup .....
rm: can't remove '/tmp/*': No such file or directory
0
BANK0
macb e000b000.ethernet eth0: unable to generate target frequency: 25000000 Hz
macb e000b000.ethernet eth0: link up (100/Full)
IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
appstartup ..... end
INIT: Entering runlevel: 5
Configuring network interfaces... done.
Starting Dropbear SSH server: dropbear.
Starting syslogd/klogd: done

PetaLinux 2016.4 zedboard-zynq7 /dev/ttyPS0

zedboard-zynq7 login: ./dwang_btc_miner
./ethtool
./HKDW.tar.gz
./libnetsnmpagent.so.30
./libnetsnmpmibs.so.30
./libnetsnmp.so.30
./proc_monitor
./reset_button
./snmpd
./snmpd.conf
./sys_pll_thrs.conf
./upgrade_ver_6.0.20.50
./zebra
jffs2: notice: (742) check_node_data: wrong data CRC in data node at 0x02fea3fc: read 0x464f62fa, calculated 0xd141d0ed.
jffs2: notice: (742) check_node_data: wrong data CRC in data node at 0x01a21ba8: read 0x6db7b1e6, calculated 0x33302c8d.
jffs2: notice: (742) check_node_data: wrong data CRC in data node at 0x004f1ee4: read 0xfc632049, calculated 0x57dc0d41.
./zyp/
./zyp/controllers/
./zyp/controllers/Status.c
./zyp/controllers/update.c
./zyp/controllers/Cgminer.c
./zyp/controllers/ipccom.c
./zyp/controllers/chipstatus.c
./zyp/controllers/admininfo.c
./zyp/controllers/Ethernet.c
./zyp/controllers/alarm.c
./zyp/controllers/ebang.c
./zyp/controllers/user.c
./zyp/auth.conf
./zyp/cache/
./zyp/cache/view_a7831b06fabea092a36b5a9cbe1005f3.so
./zyp/cache/view_3c0e2047e5221fb419a7ec0af4b7854c.so
./zyp/cache/view_53ea0d6735e4fb0329c094a648870277.so
./zyp/cache/view_04f9c7da622b21b96049f15706d92938.so
./zyp/cache/view_f7022020d354ba278dcc7ccab562a0dd.so
./zyp/cache/view_6f60de3de9ffb67d1f2e97f4b428386d.so
./zyp/cache/view_b477df8fa01e40197eb5fa8f48e3907b.so
./zyp/cache/view_035f15cc8bbe24799d3e54770f8d8295.so
./zyp/cache/view_513a569543fc2e0d3838c80e9b2de7d4.so
./zyp/cache/view_fb23b72a36b7b4dbe70628d8cca96ed0.so
./zyp/cache/view_f77f36b0d78321b044f0e296a2c667a2.so
./zyp/cache/controller_b40ca62848e046ce00cc49b1905d4fca.so
./zyp/cache/controller_2cb91d133ac7e670d03160cdd11a46c3.so
./zyp/cache/controller_ec2859b93a67c572652a66dbb4a6fe5b.so
./zyp/cache/view_4bc9f79c654681ca48136ecbdede158a.so
./zyp/cache/controller_770d6494da130a44af4aa8689fab502a.so
./zyp/cache/view_3a2b7a533e83e2d61b2cad29bb4b187e.so
./zyp/cache/view_dcb9a5587c458400c8722a02dc5ac818.so
./zyp/cache/view_3eec443737a3de9610a039406fdd038b.so
./zyp/cache/view_512223a62f67729b723fb61c3f62368a.so
./zyp/cache/view_f6669d1b369196a904ea1967e72739a2.so
./zyp/cache/view_4d4d2036351546190541ac2a32bcc383.so
./zyp/cache/view_8d281e6866d6e1de33be9fcec2966541.so
./zyp/cache/view_eddbf50269317b7877761754e564ee3c.so
./zyp/cache/view_3eb313d8866c3b5632568a7b408bedd4.so
./zyp/cache/view_7403f191a0a159c0692904ffa2491391.so
./zyp/cache/view_e4ac6605b16f7008362b59441406db75.so
./zyp/cache/controller_0d206980b11e3c885a9c639e66c6a231.so
./zyp/cache/view_cbb7866fb91eccef78994dc93adea6fb.so
./zyp/cache/controller_be279ec5321ddeabc8b45df9b08e9fe5.so
./zyp/cache/view_51b611867533393b33d56fd5a88977ca.so
./zyp/cache/view_b2068302aa7479365676d89b37de0a1e.so
./zyp/cache/view_c767ad3476fed9929b188b80cfbb45cb.so
./zyp/cache/controller_5278e85e128cf0ef1a4ccb000045c8b6.so
./zyp/cache/view_44b347948bf5f69ed66f55bf8d53e72b.so
./zyp/cache/view_393c60be603fba53dffb8bf88aa8e06b.so
./zyp/cache/controller_6cc243d48f5b4d8cbc1333bf8b28e517.so
./zyp/cache/controller_54a1923d66aec572ecb5557e0b0fe8ec.so
./zyp/cache/controller_2c81930eb9e673249e91e37173ae1434.so
./zyp/cache/view_b72ff745f4632093aa84e2cde689fba2.so
./zyp/cache/view_61b0e78a6f6e04dc3fe24ce0b7cf8e4f.so
./zyp/cache/view_4c88510bb3ee948cccea77a6b527fb87.so
./zyp/cache/view_afc502e1aa9bcff357e9eb694dabe642.so
./zyp/cache/view_1e6f4c0c0a10cbe7cfc371f4f1d38e6c.so
./zyp/cache/view_e762c5ef4bbb5893f6322f9f942e6074.so
./zyp/cache/view_5ffa9844f03dbee8b84e6b065c47f3ea.so
./zyp/cache/view_6aabc965f39a5511e494ce254a3779b1.so
./zyp/cache/view_03d2ce9bc8e4712cba15729805f23a50.so
./zyp/favicon.ico
./zyp/web/
./zyp/web/index_en.esp
./zyp/web/begin_en.esp
./zyp/web/banner.esp
./zyp/web/Cgminer/
./zyp/web/Cgminer/CgminerStatus.esp
./zyp/web/Cgminer/CgminerStatus_en.esp
./zyp/web/Cgminer/CgminerConfig_en.esp
./zyp/web/Cgminer/CgminerConfig.esp
./zyp/web/MenuRpm.esp
./zyp/web/admininfo/
./zyp/web/admininfo/getadmininfo_en.esp
./zyp/web/admininfo/getadmininfo.esp
./zyp/web/favicon.ico
./zyp/web/js/
./zyp/web/js/form.js.bak
./zyp/web/js/modernizr.custom.js
./zyp/web/js/notificationFx.js
./zyp/web/js/jquery.min.js.bak
./zyp/web/js/jquery-1.11.0.min.js
./zyp/web/js/echarts-all.js
./zyp/web/js/jquery1.js
./zyp/web/js/snap.svg-min.js
./zyp/web/js/jquery.min.js
./zyp/web/js/jquery.js
./zyp/web/js/dtree.js
./zyp/web/js/script.js
./zyp/web/js/jquery-1.9.1.min.js
./zyp/web/js/nav.js
./zyp/web/js/form.js
./zyp/web/js/classie.js
./zyp/web/js/highcharts.js
./zyp/web/alarm/
./zyp/web/alarm/alarm.esp
./zyp/web/alarm/AlarmManagement.esp
./zyp/web/alarm/alarm_en.esp
./zyp/web/alarm/AlarmStatus.esp
./zyp/web/ebang/
./zyp/web/ebang/eblist.esp
./zyp/web/ebang/upload.esp
./zyp/web/ebang/upload-esp.html
./zyp/web/logo/
./zyp/web/logo/logo_ch.png
./zyp/web/logo/logo_en.png
./zyp/web/logo.html
./zyp/web/update/
./zyp/web/update/update.esp
./zyp/web/update/help_en.esp
./zyp/web/update/ping.esp
./zyp/web/update/factory_en.esp
./zyp/web/update/help.esp
./zyp/web/update/ResetRpm_en.esp
./zyp/web/update/ResetRpm.esp
./zyp/web/update/exit_en.esp
./zyp/web/update/update_en.esp
./zyp/web/update/exit.esp
./zyp/web/update/factory.esp
./zyp/web/Ethernet/
./zyp/web/Ethernet/IPEthernetConfig.esp
./zyp/web/Ethernet/IPEthernetPort.esp
./zyp/web/Ethernet/IPEthernetConfig_en.esp
./zyp/web/Ethernet/IPEthernetPort_en.esp
./zyp/web/user/
./zyp/web/user/login.html
./zyp/web/user/login.html.bak
./zyp/web/user/list.esp
./zyp/web/chipstatus/
./zyp/web/chipstatus/moduleStatus.esp
./zyp/web/chipstatus/chipstatus.esp
./zyp/web/Status/
./zyp/web/Status/SystemStatusRpm_en.esp
./zyp/web/Status/images/
./zyp/web/Status/images/SystemStatusRpm_01.gif
./zyp/web/Status/images/SystemStatusRpm_02.gif
./zyp/web/Status/images/SystemStatusRpm_03.gif
./zyp/web/Status/SystemStatusRpm.esp
./zyp/web/begin.esp
./zyp/web/graph/
./zyp/web/graph/shBrushJScript.min.js
./zyp/web/graph/shThemejqPlot.min.css
./zyp/web/graph/shCore.min.js
./zyp/web/graph/excanvas.js
./zyp/web/graph/jquery.jqplot.min.js
./zyp/web/graph/jquery.jqplot.min.css
./zyp/web/graph/jquery.min.js
./zyp/web/graph/shCoreDefault.min.css
./zyp/web/graph/jqplot.highlighter.min.js
./zyp/web/graph/shBrushXml.min.js
./zyp/web/graph/jqplot.cursor.min.js
./zyp/web/graph/jqplot.dateAxisRenderer.min.js
./zyp/web/public/
./zyp/web/public/login.html
./zyp/web/public/img/
./zyp/web/public/img/arc.gif
./zyp/web/public/img/empty.gif
./zyp/web/public/css/
./zyp/web/public/css/css_main.css
./zyp/web/arc.html
./zyp/web/img/
./zyp/web/img/success.jpg
./zyp/web/img/weibo01.jpg
./zyp/web/img/minus.gif
./zyp/web/img/invalid.png
./zyp/web/img/icon_alert.gif
./zyp/web/img/nolines_plus.gif
./zyp/web/img/folder.gif
./zyp/web/img/pro_left.png
./zyp/web/img/bg.jpg
./zyp/web/img/base.gif
./zyp/web/img/join.gif
./zyp/web/img/red_asterisk.png
./zyp/web/img/line.gif
./zyp/web/img/logo.png
./zyp/web/img/pw.gif
./zyp/web/img/pro_down.png
./zyp/web/img/.DS_Store
./zyp/web/img/bg.gif
./zyp/web/img/plusbottom.gif
./zyp/web/img/weibo.jpg
./zyp/web/img/arc.gif
./zyp/web/img/folderopen.gif
./zyp/web/img/empty.gif
./zyp/web/img/radiobutton.gif
./zyp/web/img/minusbottom.gif
./zyp/web/img/nolines_minus.gif
./zyp/web/img/checkbox.gif
./zyp/web/img/bk.jpg
./zyp/web/img/bgColor.jpg
./zyp/web/img/plus.gif
./zyp/web/img/buticon.gif
./zyp/web/img/page.gif
./zyp/web/img/arc.jpg
./zyp/web/img/joinbottom.gif
./zyp/web/img/province_sprites.png
./zyp/web/index.esp
./zyp/web/css/
./zyp/web/css/css_main.css
./zyp/web/css/ns-style-bar.css
./zyp/web/css/demo.css
./zyp/web/css/ns-style-attached.css
./zyp/web/css/style.css.bak
./zyp/web/css/ns-style-growl.css
./zyp/web/css/dtree.css
./zyp/web/css/style.css
./zyp/web/css/normalize.css
./zyp/web/css/public.css
./zyp/web/css/ns-default.css
./zyp/web/css/ns-style-other.css
./zyp/web/css/css_main.css~
./zyp/setappconf_ip.sh
./zyp/make.sh
./zyp/self.key
./zyp/self.crt
./zyp/appweb.conf
./zyp/README.md
/opt/system/startscrip: line 14: kill: (889) - No such process
/opt/system/startscrip: line 15: kill: (894) - No such process
/opt/system/startscrip: line 16: kill: (899) - No such process
Started HTTP service on 192.168.80.140:80
Started HTTPS service on 192.168.80.140:443
FPGA version 0x46 .
FPGA g_chip_type 0x46 .
Hardware type 0x4205 .
-------- Pin OK    --------
Create /run/dewang_reboot_times.
dewang first boot.....
open serial  /dev/ttyUL2 port!
pll thrs 780
tempr thrs 60
tempr thrs 90
tempr work 35
pn **********
ip 192.168.39.204 mask 255.255.255.0 gate 192.168.39.1 dns 192.168.39.1
mac 8C:C7:D0:11:05:C1
use 0 bank0 soft_v6.0.20.50 bank1 soft_v6.0.20.48
macb e000b000.ethernet eth0: link down

PetaLinux 2016.4 zedboard-zynq7 /dev/ttyPS0

zedboard-zynq7 login:
PetaLinux 2016.4 zedboard-zynq7 /dev/ttyPS0

zedboard-zynq7 login: Finishing the Init
IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready
macb e000b000.ethernet eth0: unable to generate target frequency: 25000000 Hz
macb e000b000.ethernet eth0: link up (100/Full)
IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
killall: udhcpc: no process killed

QQ20190414202221.png

焊上 TF 卡座, 插入 TF 卡.





离线

#63 2019-04-14 20:36:42

arychen
会员
注册时间: 2019-04-06
已发帖子: 261
积分: 236.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这个7010里面的FPGA  能够虚拟出一些什么接口功能
或者说  速度能到多少啊

离线

#64 2019-04-14 20:50:25

hackerboygn
会员
注册时间: 2019-04-14
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

现有的电路图和PCB应该是逆向出来的,没有焊的器件参数都没标,当年矿机是天价,催生了配套维修产业

离线

#65 2019-04-14 20:52:55

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

QQ20190414205122.png

QQ20190414205126.png

QQ群 464302622 附件 xillinux-2.0.img, dump 到 TF 卡:

sudo dd if=/mnt/hgfs/D/xillinux-2.0.img/xillinux-2.0.img of=/dev/sdX

QQ20190414210613.png


u-boot 启动, 按 d, 进入 u-boot 命令行状态.

zynq-uboot> fatls mmc 0:1
  4487928   uimage

1 file(s), 0 dir(s)
zynq-uboot> ext4ls mmc 0:2
<DIR>       4096 .
<DIR>       4096 ..
<DIR>      16384 lost+found
<DIR>       4096 run
<DIR>       4096 sys
<DIR>       4096 tmp
<DIR>       4096 proc
<DIR>       4096 etc
<DIR>       4096 root
<DIR>       4096 boot
<DIR>       4096 opt
<DIR>       4096 sbin
<DIR>       4096 mnt
<DIR>       4096 home
<DIR>       4096 srv
<DIR>       4096 media
<DIR>       4096 snap
<DIR>       4096 usr
<DIR>       4096 lib
<DIR>       4096 dev
<DIR>       4096 bin
<DIR>       4096 var




离线

#66 2019-04-14 20:53:58

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

hackerboygn 说:

现有的电路图和PCB应该是逆向出来的,没有焊的器件参数都没标,当年矿机是天价,催生了配套维修产业

这个有道理 ^_^





离线

#67 2019-04-14 22:00:28

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

setenv ipaddr 192.168.1.3
setenv serverip 192.168.1.99

nand read 0x100000 0 0x300000
tftpput 0x100000 0x300000 uboot.bin

nand read 0x100000 0x300000 0x500000
tftpput 0x100000 0x500000 image.bin

QQ20190414215928.png

按上面方法导出了 uboot 和 linux, 不知道对不对.  zynq_uboot_kernel.7z





离线

#68 2019-04-14 23:42:43

达克罗德
会员
注册时间: 2018-04-10
已发帖子: 1,133
积分: 1085.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

焊了电源线后跑起来了,38元一个真是划算呀。Vivado必须装完整版的吗?我电脑空间不足

离线

楼主 #69 2019-04-15 00:27:02

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

达克罗德 说:

焊了电源线后跑起来了,38元一个真是划算呀。Vivado必须装完整版的吗?我电脑空间不足

个人认为这个矿渣是真的超值。vivado好像得老老实实安装完。小姐姐删两个就有空间了~

离线

#70 2019-04-15 10:17:46

hackerboygn
会员
注册时间: 2019-04-14
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

请教一个,ARM部分通过JTAG下载固件到板载Flash的话,是不是只用JLink就可以实现?FPGA通过的下载需要什么工具,只能用xilinx自家的?

离线

楼主 #71 2019-04-17 13:17:21

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

hackerboygn 说:

请教一个,ARM部分通过JTAG下载固件到板载Flash的话,是不是只用JLink就可以实现?FPGA通过的下载需要什么工具,只能用xilinx自家的?

可以从sd卡直接启动。fpga部分的位流文件在uboot里面加载,用不着jtag

离线

#72 2019-04-17 15:11:27

hackerboygn
会员
注册时间: 2019-04-14
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

按照42楼道方法,卡在uboot进不去系统,求带路
zynq1.png
zynq2.png

离线

#73 2019-04-17 16:17:44

hackerboygn
会员
注册时间: 2019-04-14
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

hackerboygn 说:

蛋疼,手动敲一编启动命令就能进。反正调用run命令就极有可能找不到zynq-ebaz.dtb。(其他文件都可以,唯独zynq-ebaz.dtb不行,难到我的TF卡有问题???)
现在把启动脚步的环境变量简化成如下形式就可以完美进系统了

zynq3.png

离线

#74 2019-04-17 23:31:02

ljbfly
会员
注册时间: 2017-12-07
已发帖子: 37
积分: 27

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

SEGGER Embedded Studio + Jlink Jtag模式裸机能跑起来了 big_smile
TIM20190417231818.png
Executable_1.7z
接下来怎么点个灯呢 ? sad 。。。

离线

楼主 #75 2019-04-20 10:42:20

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

hackerboygn 说:
hackerboygn 说:

蛋疼,手动敲一编启动命令就能进。反正调用run命令就极有可能找不到zynq-ebaz.dtb。(其他文件都可以,唯独zynq-ebaz.dtb不行,难到我的TF卡有问题???)
现在把启动脚步的环境变量简化成如下形式就可以完美进系统了

https://whycan.cn/files/members/1598/zynq3.png

是的 那个脚本有问题,可以吧zynq-ebaz.dtb改名字成devicetree.dtb

离线

楼主 #76 2019-04-20 10:45:05

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

ljbfly 说:

SEGGER Embedded Studio + Jlink Jtag模式裸机能跑起来了 big_smile
https://whycan.cn/files/members/390/TIM20190417231818.png
Executable_1.7z
接下来怎么点个灯呢 ? sad 。。。

老哥真厉害

离线

#77 2019-04-21 09:41:52

yinyue01
会员
注册时间: 2019-04-21
已发帖子: 6
积分: 6

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

有黑金AX7010光盘的网盘链接吗,github下载太慢了

posystorage 说:

学习资料和链接
黑金家开发板的 面向歪果仁的资料
在gayhub上面https://github.com/alinxalinx/AX7010 有点大,下载下来有1.5G,用ida+挂代理来的快,不然下太久了
https://whycan.cn/files/members/884/TIM20190405162006.jpg
https://whycan.cn/files/members/884/TIM20190405162000.jpg
https://whycan.cn/files/members/884/TIM20190405161952.jpg

离线

楼主 #78 2019-04-21 11:02:43

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

yinyue01 说:

有黑金AX7010光盘的网盘链接吗,github下载太慢了

posystorage 说:

学习资料和链接
黑金家开发板的 面向歪果仁的资料
在gayhub上面https://github.com/alinxalinx/AX7010 有点大,下载下来有1.5G,用ida+挂代理来的快,不然下太久了
https://whycan.cn/files/members/884/TIM20190405162006.jpg
https://whycan.cn/files/members/884/TIM20190405162000.jpg
https://whycan.cn/files/members/884/TIM20190405161952.jpg

git下载要挂代理就非常快了

离线

#79 2019-04-21 20:07:15

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

直接在美国 vps 上面克隆编译更快,一般人我不告诉他





离线

楼主 #80 2019-04-21 21:05:38

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

晕哥 说:

直接在美国 vps 上面克隆编译更快,一般人我不告诉他

有道理啊,哪天我来玩玩试试

离线

楼主 #81 2019-04-22 00:43:26

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

来个简单的上手教程
1、硬件改造
短接背面的二极管D24
1
修改MIO5的设置电阻---把R2584的电阻拆下来焊接到R2577的位置。
1
焊接串口和tf卡座
1
2、准备一张8G以上的tf卡-因为整个卡要被覆盖 记得备份数据
下载镜像 资料下载群:634396816
3、写tf卡
linux下用指令:
sudo dd if=./pynq_z2_v2.3.img of=/dev/sdcXXX(这里请修改成自己系统里的tf卡路径)
或者另外一个镜像:
sudo dd if=./xillinux-2.0.img of=/dev/sdcXXX(这里请修改成自己系统里的tf卡路径)
win下 下载win32diskimager 
https://sourceforge.net/projects/win32diskimager/
写入镜像后 有两个分区fat分区-很小 ext分区
吧fat分区的文件全部删掉
吧附件的压缩包解压 里面的文件全部拷贝到fat分区
https://whycan.cn/files/members/884/fat32-file.7z
4、接上串口 打开终端(我这里用putty)
一般来说可以启动成功  可以插网线 检查下网络正常不(有部分板子网络会不正常)

最近编辑记录 posystorage (2019-04-22 00:51:08)

离线

楼主 #82 2019-04-22 00:49:25

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

成功的截图
两个不同的版本的乌班图
1
2

有些板子会网有问题 坐等大佬解决?
3
4

离线

#83 2019-04-22 08:23:01

超级萌新
会员
注册时间: 2018-05-04
已发帖子: 408
积分: 407

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

萌新表示这帅呆了,对各位大神佩服犹如滔滔江水绵绵不绝

离线

#84 2019-04-23 12:02:20

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

可以尝试一下替换这一个设备数devicetree1746net.zip

离线

楼主 #85 2019-04-23 12:45:18

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xiaohui 说:

可以尝试一下替换这一个设备数devicetree1746net.zip

已经是用新的设备树了,就是你附件这个

离线

#86 2019-04-24 12:00:14

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
xiaohui 说:

可以尝试一下替换这一个设备数devicetree1746net.zip

已经是用新的设备树了,就是你附件这个

拍一下你板子的背面?

离线

楼主 #87 2019-04-24 13:19:19

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xiaohui 说:
posystorage 说:
xiaohui 说:

可以尝试一下替换这一个设备数devicetree1746net.zip

已经是用新的设备树了,就是你附件这个

拍一下你板子的背面?

如图
情况是这样的:
点的亮。。不能通信
网口灯会闪
路由器能收到dhcp请求,也分了ip
但是板子那边没收。。。
应该是软件的事
1

离线

#88 2019-04-24 13:45:16

yinyue01
会员
注册时间: 2019-04-21
已发帖子: 6
积分: 6

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我的也是这样



posystorage 说:
xiaohui 说:
posystorage 说:

已经是用新的设备树了,就是你附件这个

拍一下你板子的背面?

如图
情况是这样的:
点的亮。。不能通信
网口灯会闪
路由器能收到dhcp请求,也分了ip
但是板子那边没收。。。
应该是软件的事
https://whycan.cn/files/members/884/TIM20190424131542.jpg

离线

#89 2019-04-24 19:25:05

hackerboygn
会员
注册时间: 2019-04-14
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我又收了两块,发现其中一块从TF卡启动过程中内核卡死。
切换会板载Flash启动原生系统是好的。
有人遇过这样的问题吗?
Jietu20190424-192022.jpg

离线

#90 2019-04-25 20:12:57

yinyue01
会员
注册时间: 2019-04-21
已发帖子: 6
积分: 6

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

不知道和这个有没有关系?
none


posystorage 说:
xiaohui 说:
posystorage 说:

已经是用新的设备树了,就是你附件这个

拍一下你板子的背面?

如图
情况是这样的:
点的亮。。不能通信
网口灯会闪
路由器能收到dhcp请求,也分了ip
但是板子那边没收。。。
应该是软件的事
https://whycan.cn/files/members/884/TIM20190424131542.jpg

离线

楼主 #91 2019-04-26 11:33:30

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

yinyue01 说:

不知道和这个有没有关系?
https://whycan.cn/files/members/1608/none.png


posystorage 说:
xiaohui 说:

拍一下你板子的背面?

如图
情况是这样的:
点的亮。。不能通信
网口灯会闪
路由器能收到dhcp请求,也分了ip
但是板子那边没收。。。
应该是软件的事
https://whycan.cn/files/members/884/TIM20190424131542.jpg

这里好像不影响 正常网络或者网络不行 这里提示都一样

离线

#92 2019-05-10 08:32:09

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

QQ20190510083124.jpg

Q群 464302622 有一个大神 Ken 正在调试 spi nor flash.





离线

#93 2019-05-13 00:15:46

qintian
会员
注册时间: 2019-05-12
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我试着用petalinux编译一个最简单的sd卡启动文件,但是结果总是卡在如下步骤

 Board: Xilinx Zynq
Silicon: v3.1
DRAM:  ECC disabled 256 MiB
MMC:   sdhci@e0100000: 0 (SD)
** No device specified **
Using default environment

In:    serial@e0001000
Out:   serial@e0001000
Err:   serial@e0001000
Board: Xilinx Zynq
Silicon: v3.1
U-BOOT for testlinux2

Hit any key to stop autoboot:  0
Device: sdhci@e0100000
Manufacturer ID: 3
OEM: 5344
Name: ASTCTran Speed: 25000000
Rd Block Len: 512
SD version 1.0
High Capacity: Yes
Capacity: 14.8 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
reading image.ub
3951864 bytes read in 345 ms (10.9 MiB/s)
## Loading kernel from FIT Image at 10000000 ...
   Using 'conf@system-top.dtb' configuration
   Verifying Hash Integrity ... OK
   Trying 'kernel@1' kernel subimage
     Description:  Linux kernel
     Type:         Kernel Image
     Compression:  gzip compressed
     Data Start:   0x10000104
     Data Size:    3936654 Bytes = 3.8 MiB
     Architecture: ARM
     OS:           Linux
     Load Address: 0x00008000
     Entry Point:  0x00008000
     Hash algo:    sha1
     Hash value:   f72cbeb4eee61af51bd5cdd3b91dd0b82ecf2959
   Verifying Hash Integrity ... sha1+ OK
## Loading fdt from FIT Image at 10000000 ...
   Using 'conf@system-top.dtb' configuration
   Trying 'fdt@system-top.dtb' fdt subimage
     Description:  Flattened Device Tree blob
     Type:         Flat Device Tree
     Compression:  uncompressed
     Data Start:   0x103c1394
     Data Size:    13300 Bytes = 13 KiB
     Architecture: ARM
     Hash algo:    sha1
     Hash value:   5d7643d024778388c5fd81521326b2763a6a9d47
   Verifying Hash Integrity ... sha1+ OK
   Booting using the fdt blob at 0x103c1394
   Uncompressing Kernel Image ... Error: inflate() returned -3
gzip compressed: uncompress error -1
Must RESET board to recover
resetting ...
 

感觉可能是 loadaddr 的地址有点问题,但调了几次还是同样的问题。请问问题出在哪里,希望大神指点:)

离线

楼主 #94 2019-05-13 11:09:18

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

搞了个FT232H的JTAG调试线
这是原作者的pcb/固件烧录等资料
https://whycan.cn/files/members/884/Xilinx_JTAG-SMT2.rar
这是我改小的SCH/PCB/BOM文件,用AD可以打开
https://whycan.cn/files/members/884/FT232H.7z
在vivado中成功识别
1
实物图 盗用群里大佬的图了
1
1
1
支持micro或者typec
232h封装支持lqfp或者qfn
配置芯片封装支持sop8或者sto23-6

离线

#95 2019-05-13 14:47:35

metro
会员
注册时间: 2019-03-09
已发帖子: 442
积分: 486

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:

搞了个FT232H的JTAG调试线
这是原作者的pcb/固件烧录等资料
https://whycan.cn/files/members/884/Xilinx_JTAG-SMT2.rar
这是我改小的SCH/PCB/BOM文件,用AD可以打开
https://whycan.cn/files/members/884/FT232H.7z
在vivado中成功识别
https://whycan.cn/files/members/884/TIM20190513110450.png
实物图 盗用群里大佬的图了
https://whycan.cn/files/members/884/TIM20190513110330.jpg
https://whycan.cn/files/members/884/TIM20190513110413.jpg
https://whycan.cn/files/members/884/TIM20190513110430.jpg
支持micro或者typec
232h封装支持lqfp或者qfn
配置芯片封装支持sop8或者sto23-6

好评,不过好像没看到引出SRST引脚?这个引脚可以用来重置Zynq,调试的时候应该方便一些

离线

#96 2019-05-13 14:57:16

metro
会员
注册时间: 2019-03-09
已发帖子: 442
积分: 486

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这是我在网上找到的一些Digilent JTAG调试器的资料,现在想做一个支持宽电压的FT232H调试器,需要实现SRST引脚,不知道有没有dalao有兴趣?没画过PCB hmm

JTAG-SMT2.png

http://www.digilent.com.cn/community/616.html 这里面有个网盘地址有Digilent调试器的量产工具,亲测可用(使用淘宝买的FT232H开发板)(低调低调 cool

离线

楼主 #97 2019-05-14 18:25:05

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

metro 说:
posystorage 说:

搞了个FT232H的JTAG调试线
这是原作者的pcb/固件烧录等资料
https://whycan.cn/files/members/884/Xilinx_JTAG-SMT2.rar
这是我改小的SCH/PCB/BOM文件,用AD可以打开
https://whycan.cn/files/members/884/FT232H.7z
在vivado中成功识别
https://whycan.cn/files/members/884/TIM20190513110450.png
实物图 盗用群里大佬的图了
https://whycan.cn/files/members/884/TIM20190513110330.jpg
https://whycan.cn/files/members/884/TIM20190513110413.jpg
https://whycan.cn/files/members/884/TIM20190513110430.jpg
支持micro或者typec
232h封装支持lqfp或者qfn
配置芯片封装支持sop8或者sto23-6

好评,不过好像没看到引出SRST引脚?这个引脚可以用来重置Zynq,调试的时候应该方便一些

矿渣板子上的jtag也没引出rst

离线

#98 2019-05-15 11:53:23

yinyue01
会员
注册时间: 2019-04-21
已发帖子: 6
积分: 6

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

网盘链接打不开,能否上传一份DigilentFTDIConfig.exe?谢谢


metro 说:

这是我在网上找到的一些Digilent JTAG调试器的资料,现在想做一个支持宽电压的FT232H调试器,需要实现SRST引脚,不知道有没有dalao有兴趣?没画过PCB hmm

https://whycan.cn/files/members/1510/JTAG-SMT2.png

http://www.digilent.com.cn/community/616.html 这里面有个网盘地址有Digilent调试器的量产工具,亲测可用(使用淘宝买的FT232H开发板)(低调低调 cool

离线

#99 2019-05-16 22:11:58

ybbrdfxk0922
会员
所在地: 石家庄
注册时间: 2018-04-27
已发帖子: 23
积分: 18

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

麻烦下大家,2.0 的2x10p插座什么型号?

离线

#100 2019-05-16 22:36:00

coffeerr2004001
会员
注册时间: 2019-05-13
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

离线

#101 2019-05-17 13:59:14

yinyue01
会员
注册时间: 2019-04-21
已发帖子: 6
积分: 6

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

离线

楼主 #102 2019-05-18 18:28:36

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

ybbrdfxk0922 说:

麻烦下大家,2.0 的2x10p插座什么型号?

同问啊。不过好像用2mm*2x10p的普通排母就行

离线

#103 2019-05-21 14:10:08

yu
会员
注册时间: 2019-05-21
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

可以ping路由器吗?排除下dns的问题。

离线

#104 2019-05-23 00:30:12

yu
会员
注册时间: 2019-05-21
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我今天也遇到了这个问题,一开始用12V电源,ping不通,后来改用5V,居然可以了,神奇

离线

#105 2019-05-23 13:35:52

tango_zhu
会员
注册时间: 2018-04-12
已发帖子: 115
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

MARK下好东西 现在有30块钱一块 5块包邮的了

离线

#106 2019-05-23 22:22:56

ALEX_ZHOU
会员
注册时间: 2019-05-23
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

入坑了,紧跟大佬步伐,电源还没有搞定

离线

#107 2019-05-25 23:05:10

yinyue01
会员
注册时间: 2019-04-21
已发帖子: 6
积分: 6

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我刚验证了,确实12V不能ping通,5V就ping通了。比较神奇。板子版本是1730。

yu 说:

我今天也遇到了这个问题,一开始用12V电源,ping不通,后来改用5V,居然可以了,神奇

离线

#108 2019-05-26 18:17:08

langhaihhu
会员
注册时间: 2019-05-26
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我也刚入手一块,跟着各位大佬学习学习。

离线

#109 2019-05-30 23:21:13

djp1
会员
注册时间: 2019-05-30
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

闲鱼30买了一块

离线

#110 2019-06-04 14:50:47

actionchen
会员
注册时间: 2019-06-04
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我是无意中咸鱼看到的,小白一枚想学习FPGA,这么便宜的板子就当玩了。30一块,邮费10块,四川居然收12块邮费。。。
到手后,焊UART插针和JTAG插针,没喷锡的焊盘好难焊啊,烙铁开到400度,结果焊半天好不容易焊上了,丑的一逼。

我看有大佬说5V也能启动,结果接下来用USB转TTL的那个5V启动不起来,估计电流不够,虽然我电脑是USB3.0的。换上12V电源。很快就欢唱起来了。

本来想一步一步跟大佬学uboot,kernel,device tree,rootfs,这么来的,但TF卡座还没到。也没有JTAG线。想着学FPGA先忽略这个linux吧,那就能用先。
第一步就是要备份,万一出错了还能还原,根据前面楼层大佬的备份NAND数据和分区的方法,几个NAND分区全备份了。

根据系统启动的信息

9 ofpart partitions found on MTD device pl35x-nand
Creating 9 MTD partitions on "pl35x-nand":
0x000000000000-0x000000300000 : "nand-fsbl-uboot"
0x000000300000-0x000000800000 : "nand-linux"
0x000000800000-0x000000820000 : "nand-device-tree"
0x000000820000-0x000001220000 : "nand-rootfs"
0x000001220000-0x000002220000 : "nand-jffs2"
0x000002220000-0x000002a20000 : "nand-bitstream"
0x000002a20000-0x000006a20000 : "nand-allrootfs"
0x000006a20000-0x000007e00000 : "nand-release"
0x000007e00000-0x000008000000 : "nand-reserve"

一个一个分区的备份吧

zynq-uboot> setenv ipaddr 192.168.5.200
zynq-uboot> setenv serverip 192.168.5.28
zynq-uboot> nand read 0x100000 0x000000 0x300000

NAND read: device 0 offset 0x0, size 0x300000
 3145728 bytes read: OK
zynq-uboot> tftpput 0x100000 0x300000 fsbl-uboot
Gem.e000b000:0 is connected to Gem.e000b000.  Reconnecting to Gem.e000b000
Gem.e000b000 Waiting for PHY auto negotiation to complete... done
Gem.e000b000----100----4047----
Using Gem.e000b000 device
TFTP to server 192.168.5.28; our IP address is 192.168.5.200
Filename 'fsbl-uboot'.
Save address: 0x100000
Save size:    0x300000
Saving: ################################################################
         #################################################################
         #################################################################
         ####################
         2.3 MiB/s
done
Bytes transferred = 3145728 (300000 hex)
zynq-uboot> nand read 0x100000 0x300000 0x500000

NAND read: device 0 offset 0x300000, size 0x500000
 5242880 bytes read: OK
zynq-uboot> tftpput 0x100000 0x500000 linux     
Gem.e000b000:0 is connected to Gem.e000b000.  Reconnecting to Gem.e000b000
Gem.e000b000 Waiting for PHY auto negotiation to complete... done
Gem.e000b000----100----4047----
Using Gem.e000b000 device
TFTP to server 192.168.5.28; our IP address is 192.168.5.200
Filename 'linux'.
Save address: 0x100000
Save size:    0x500000
Saving: ################################################################
         #################################################################
         #################################################################
         #################################################################
         #################################################################
         #################################
         2.1 MiB/s
done
Bytes transferred = 5242880 (500000 hex)
zynq-uboot> nand read 0x100000 0x800000 0x20000 

NAND read: device 0 offset 0x800000, size 0x20000
 131072 bytes read: OK
zynq-uboot> tftpput 0x100000 0x20000 devicetree.dtb 
Gem.e000b000:0 is connected to Gem.e000b000.  Reconnecting to Gem.e000b000
Gem.e000b000 Waiting for PHY auto negotiation to complete... done
Gem.e000b000----100----4047----
Using Gem.e000b000 device
TFTP to server 192.168.5.28; our IP address is 192.168.5.200
Filename 'devicetree.dtb'.
Save address: 0x100000
Save size:    0x20000
Saving: ########
         2 MiB/s
done
Bytes transferred = 131072 (20000 hex)

大概是这样把9个分区全部备份好了

第二步就想着有现成的系统,破解下口令,然后把挖矿的程序停了不就可以开搞了吗?

其实linux系统root口令很好破,只要你拿到文件系统了。直接把/etc/passwd 里面root对应的加密密码字段删掉就可以了,再启动输入root就会自动进入系统不会让你输入密码了。

我们备份的额9个分区内容中,密码就在0x000002a20000-0x000006a20000 : "nand-allrootfs" 这个分区里面,具体挂载这个文件系统的方法我参考了
https://www.cnblogs.com/findumars/p/5869608.html
https://blog.csdn.net/long0801/article/details/77368205
两篇文章,挂载好后,直接编辑etc/passwd,修改成下面这样.

root::0:0:root:/home/root:/bin/sh

然后顺便把挖矿的控制程序关掉吧

mv etc/rcS.d/S95cgminer.sh home/root/

注意,操作的时候是在allrootfs的挂载点下操作,不要操作到宿主机的文件了。
然后按照参考的那两篇文章中方法重新打包,我的命令是:

mkfs.jffs2 -r /tmp/aa/ -o allrootfs.new -e 0x20000 --pad=0x4000000 -n -l

/tmp/aa 是我的allrootfs挂载点,allrootfs.new是新生成的分区文件 ,其余参数照做应该没问题。

第三部就是把改好的系统文件烧回nand去。

zynq-uboot> tftpboot 0x100000 allrootfs.new
zynq-uboot> nand erase 0x2a20000 0x4000000
zynq-uboot> nand write 0x100000  0x2a20000 0x4000000

这样写完就可以愉快的重启了。登录的时候直接输入root就进系统了。接下来要玩FPGA就方便了。不过我以后还是想从头编译刷机刷一刷。

离线

楼主 #111 2019-06-06 17:44:17

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

@actionchen

很厉害 赞一个。5V也没多少电流 usb不应该带不动

离线

#112 2019-06-10 09:06:47

fawei1007
会员
注册时间: 2019-06-10
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

7010开发板,网上有25和38的,两者有何区别?

离线

#113 2019-06-12 17:51:35

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

上电测试板子是否正常

------

板子到手后,在nand有系统的情况下可以通过开机打印检查看下板子的芯片和外设是否正常。(检查nand有没有系统也是一样的做法/偷笑)

1,准备一个5v或者12v的电源,一个usb转ttl线,一个串口调试软件
2,接线
3,打开串口调试软件
4,上电
5,根据开机打印信息判断芯片和一些外设硬件是否正常
------
[h3]1,准备一个5v或者12v的电源,一个usb转ttl线,一个串口调试软件[/h3]
  串口使用可以支持115200波特率的即可
  ------
[h3]2,接线[/h3]
![url=![https://www.zybuluo.com/static/img/logo.png][1]]接线图[/url]
上图引用自:https://whycan.cn/t_2297.html(再次感谢各位大佬的付出与支持)
  左边接了杜邦线的是串口,刚买回来需要自己焊接一下,右上角的是电源,留意板子上的丝印有写这12v和gnd的对应着接线就好。这里的电源实测只给5v也可以工作(我现在tf卡还没正常启动这里有点保留,但是实测nand可以正常启动)。
------
[h3]5,根据开机打印信息判断芯片和一些外设硬件是否正常。[/h3]

U-Boot 2015.07 (Jun 28 2017 - 21:05:18 +0800)

Model: Zynq Zed Development Board
DRAM:  ECC disabled 256 MiB
NAND:  128 MiB
MMC:   zynq_sdhci: 0
Invalid bus 0 (err=-1)
*** Warning - spi_flash_probe() failed, using default environment

In:    serial
Out:   serial
Err:   serial
Model: Zynq Zed Development Board
Net:   Gem.e000b000
Hit 'd' to stop autoboot(eric):  3  2  1  0 
Copying Linux from NAND flash to RAM...

(从这往下22行可以判断测nand是否正常工作)
(开始)Device 0: nand0, sector size 128 KiB
  Page size       2048 b
  OOB size          64 b
  Erase size    131072 b
  subpagesize      512 b
  options     0x       0
  bbt options 0x   20000

NAND read: device 0 offset 0x2220000, size 0x300000
 3145728 bytes read: OK
  design filename = "top_ctrl;UserID=0XFFFFFFFF;Version=2016.4"
  part number = "7z010clg400"
  date = "2017/10/17"
  time = "09:59:49"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100068 to 100000(swap 1)

NAND read: device 0 offset 0x300000, size 0x500000
 5242880 bytes read: OK

(结束)NAND read: device 0 offset 0x800000, size 0x20000
 131072 bytes read: OK
(从这往下16行可以判断测刚才的nand拷贝是否成功,也是nand是否正常工作的一个标志)
(开始)## Booting kernel from Legacy Image at 02080000 ...
   Image Name:   Linux-4.6.0-xilinx
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    3820688 Bytes = 3.6 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Device Tree to 0f2fe000, end 0f303b90 ... OK

Starting kernel ...

Booting Linux on physical CPU 0x0
(结束)Linux version 4.6.0-xilinx (root@alvin) (gcc version 5.2.0 (GCC) ) #5 SMP PREEMPT Mon May 8 14:36:49 CST 2017
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
Machine model: xlnx,zynq-7000
cma: Reserved 16 MiB at 0x0e000000
Memory policy: Data cache writealloc
percpu: Embedded 12 pages/cpu @cfdce000 s19776 r8192 d21184 u49152
Built 1 zonelists in Zone order, mobility grouping on.  Total pages: 65024
(这里可以看出当前打印信息的设备,可以判断是哪一路串口正常工作。还可以看出文件系统将从哪一个设备启动,可以看出串口和一个存储设备是否正常工作)Kernel command line: console=ttyPS0,115200 root=/dev/mtdblock6 rootfstype=jffs2 noinitrd rw rootwait
PID hash table entries: 1024 (order: 0, 4096 bytes)
Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
(这里可以看到内存的信息和当前kernel的内存分布,可以判断是内存正常工作。作)Memory: 234624K/262144K available (5286K kernel code, 231K rwdata, 1848K rodata, 1024K init, 226K bss, 11136K reserved, 16384K cma-reserved, 0K highmem)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
    lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
    pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    modules : 0xbf000000 - 0xbfe00000   (  14 MB)
      .text : 0xc0008000 - 0xc07f7850   (8127 kB)
      .init : 0xc0800000 - 0xc0900000   (1024 kB)
      .data : 0xc0900000 - 0xc0939e60   ( 232 kB)
       .bss : 0xc0939e60 - 0xc0972764   ( 227 kB)
Preemptible hierarchical RCU implementation.
	Build-time adjustment of leaf fanout to 32.
	RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
RCU: Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
NR_IRQS:16 nr_irqs:16 16
efuse mapped to d0800000
slcr mapped to d0802000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init: clkc starts at d0802100
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce076117e, max_idle_ns: 440795215954 ns
Switching to timer-based delay loop, resolution 3ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 at d080a000, irq=17
Console: colour dummy device 80x30
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333330)
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
(两个A9核的信息)
CPU: Testing write buffer coherency: ok
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x100000 - 0x100058
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
Brought up 2 CPUs
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0880000
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
vgaarb: loaded
SCSI subsystem initialized
(usb设备的注册)usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
media: Linux media interface: v0.10
Linux video capture interface: v2.00
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
EDAC MC: Ver: 3.0.0
Advanced Linux Sound Architecture Driver Initialized.
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
TCP established hash table entries: 2048 (order: 1, 8192 bytes)
TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
TCP: Hash tables configured (established 2048 bind 2048)
UDP hash table entries: 256 (order: 1, 8192 bytes)
UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
futex hash table entries: 512 (order: 3, 32768 bytes)
workingset: timestamp_bits=28 max_order=16 bucket_order=0
jffs2: version 2.2. (NAND) (SUMMARY)  漏 2001-2006 Red Hat, Inc.
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac: 	DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
(挂载uart设备)
42c00000.serial: ttyUL2 at MMIO 0x42c00000 (irq = 166, base_baud = 0) is a uartlite
e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 144, base_baud = 3124996) is a xuartps
e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 145, base_baud = 3124996) is a xuartps
console [ttyPS0] enabled
xdevcfg f8007000.devcfg: ioremap 0xf8007000 to d0872000
[drm] Initialized drm 1.1.0 20060810
brd: module loaded
loop: module loaded
CAN device driver interface
gpiod_set_value: invalid GPIO
libphy: MACB_mii_bus: probed
macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 148 (00:0a:35:00:01:22)
ICPlus IP101A/G e000b000.etherne:00: attached PHY driver [ICPlus IP101A/G] (mii_bus:phy_addr=e000b000.etherne:00, irq=-1)
e1000e: Intel(R) PRO/1000 Network Driver - 3.2.6-k
e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
mousedev: PS/2 mouse device common for all mice
i2c /dev entries driver
rtc-pcf8563 0-0051: chip found, driver version 0.4.4
rtc-pcf8563 0-0051: pcf8563_write_block_data: err=-11 addr=0e, data=03
rtc-pcf8563 0-0051: pcf8563_probe: write error
rtc-pcf8563: probe of 0-0051 failed with error -5
cdns-i2c e0004000.i2c: 400 kHz mmio e0004000 irq 141
EDAC MC: ECC not enabled
Xilinx Zynq CpuIdle Driver started
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
(mmc控制器挂载)mmc0: SDHCI controller on e0100000.sdhci [e0100000.sdhci] using DMA
ledtrig-cpu: registered to indicate activity on CPUs
usbcore: registered new interface driver usbhid
usbhid: USB HID core driver
(这里可以看到nand设备的id被成功读取)nand: device found, Manufacturer ID: 0xef, Chip ID: 0xf1
(nand的型号)nand: Unknown W29N01HV
(nand的容量)nand: 128 MiB, SLC, erase size: 128 KiB, page size: 2048, OOB size: 64
(nand的bbt的信息)
Bad block table found at page 65472, version 0x01
Bad block table found at page 65408, version 0x01
nand_read_bbt: bad block at 0x000007f60000
nand_read_bbt: bad block at 0x000007f80000
nand_read_bbt: bad block at 0x000007fa0000
nand_read_bbt: bad block at 0x000007fc0000
(nand设备的分区信息)
9 ofpart partitions found on MTD device pl35x-nand
Creating 9 MTD partitions on "pl35x-nand":
0x000000000000-0x000000300000 : "nand-fsbl-uboot"
0x000000300000-0x000000800000 : "nand-linux"
0x000000800000-0x000000820000 : "nand-device-tree"
0x000000820000-0x000001220000 : "nand-rootfs"
0x000001220000-0x000002220000 : "nand-jffs2"
0x000002220000-0x000002a20000 : "nand-bitstream"
0x000002a20000-0x000006a20000 : "nand-allrootfs"
0x000006a20000-0x000007e00000 : "nand-release"
0x000007e00000-0x000008000000 : "nand-reserve"
NET: Registered protocol family 10
sit: IPv6 over IPv4 tunneling driver
NET: Registered protocol family 17
can: controller area network core (rev 20120528 abi 9)
NET: Registered protocol family 29
can: raw protocol (rev 20120528)
can: broadcast manager protocol (rev 20120528 t)
can: netlink gateway (rev 20130117) max_hops=1
Registering SWP/SWPB emulation handler
hctosys: unable to open rtc device (rtc0)
(声音设备的识别)ALSA device list:
  No soundcards found.
(文件系统挂载成功)VFS: Mounted root (jffs2 filesystem) on device 31:6.
devtmpfs: mounted
Freeing unused kernel memory: 1024K (c0800000 - c0900000)
jffs2: warning: (1) jffs2_sum_write_data: Not enough space for summary, padsize = -16

INIT: version 2.88 booting

random: dd urandom read with 1 bits of entropy available
Wed Jul 21 00:51:05 UTC 2010

Starting internet superserver: inetd.

jffs2: notice: (721) check_node_data: wrong data CRC in data node at 0x03edeccc: read 0x279c67f2, calculated 0x2bbf6f3e.
IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready
appstartup .....

rm: can't remove '/tmp/*': No such file or directory

0

BANK0

appstartup ..... end


INIT: Entering runlevel: 5


Configuring network interfaces... done.

Starting Dropbear SSH server: dropbear.

Starting syslogd/klogd: done



PetaLinux 2016.4 zedboard-zynq7 /dev/ttyPS0

因为不知道这个nand系统里的账号信息所以先到这里。后面我们可以把tf卡接上,先不要切换启动设备。然后在开机信息里看一下tf是否被正常识别和挂载成功,然后把启动设备修改为tf卡,尝试tf卡启动。tf卡启动怎么做我会在我完成tf启动后写出来(已经有好几个群里和whycan里的大佬启动成功。镜像在群共享里有)
  [1]: https://whycan.cn/files/members/3/QQ20190404190714.jpg

离线

#114 2019-06-12 17:54:07

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

## PS:
如果刚拿到板子请先把上电测试的帖子检查一下板子是都正常
## 接上tf卡但是先不要修改启动的设备
接上tf卡上电,检查mmc是否识别成功。mmc是否挂载成功

## 烧录下载镜像到tf卡
1,下载群(群号464302622)里的pynq_z2_v2.3.zip,执行

unzip pynq_z2_v2.3.zip

解压。
2,

sudo dd if=./pynq_z2_v2.3.img of=/dev/sdc

(这里请修改成自己系3,统里的tf卡路径)
4,然后执行

sync

5,检查105MB大小的分区是都fat格式,如果不是fat格式的话就格式化分区为fat格式。
6,复制群共享里的BOOT.bin,fsbl.bif,linux.config,system.bit.bin,uEnv.txt,uImage,uramdisk.image.gz,zynq-ebaz.dtb到fat分区
7,将zynq-ebaz.dtb文件重命名为devicetree.dtb

## 修改启动设备为tf卡
TIM20190402130928.jpg
把R2584的电阻拆下来焊接到R2577的位置。
## 上电启动

U-Boot 2019.01-07011-g5ff8217-dirty (Mar 31 2019 - 08:09:08 +0800)

CPU:   Zynq 7z010
Silicon: v3.1
Model: Zynq MicroZED Board
I2C:   ready
DRAM:  ECC disabled 256 MiB
Watchdog: Started
NAND:  128 MiB
MMC:   mmc@e0100000: 0
In:    serial@e0001000
Out:   serial@e0001000
Err:   serial@e0001000
Net:   ZYNQ GEM: e000b000, phyaddr 0, interface mii

Warning: ethernet@e000b000 (eth0) using random MAC address - 52:bf:a2:a5:ff:1d
eth0: ethernet@e000b000ZYNQ GEM: e000c000, phyaddr 1, interface rmii
PHY is not detected
GEM PHY init failed
, eth-1: ethernet@e000c000
531 bytes read in 8 ms (64.5 KiB/s)
Importing environment from SD ...
Hit any key to stop autoboot:  0 
!!!
!!! Booting cmd is deprecated (will be removed in 2020).
!!! Please move to distro bootcmd.
!!!
Device: mmc@e0100000
Manufacturer ID: 3
OEM: 5344
Name: SL16G 
Bus Speed: 50000000
Mode : SD High Speed (50MHz)
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 14.8 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
531 bytes read in 6 ms (85.9 KiB/s)
Loaded environment from uEnv.txt
Importing environment from SD ...
Running uenvcmd ...
Loading bitstream from SD/MMC/eMMC to RAM..
2083852 bytes read in 135 ms (14.7 MiB/s)
  design filename = "design_1_wrapper;UserID=0XFFFFFFFF;Version=2018.3"
  part number = "7z010clg400"
  date = "2019/03/31"
  time = "07:11:02"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100070 to fff80(swap 1)
Copying Linux from SD to RAM...
4474072 bytes read in 269 ms (15.9 MiB/s)
 **Unable to read file zynq-ebaz.dtb
Copying Linux from SD to RAM...
4474072 bytes read in 263 ms (16.2 MiB/s)
14305 bytes read in 13 ms (1 MiB/s)
5941934 bytes read in 353 ms (16.1 MiB/s)
## Booting kernel from Legacy Image at 02080000 ...
   Image Name:   Linux-4.14.108-xilinx
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    4474008 Bytes = 4.3 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Loading init Ramdisk from Legacy Image at 04000000 ...
   Image Name:   Ramdisk
   Image Type:   ARM Linux RAMDisk Image (gzip compressed)
   Data Size:    5941870 Bytes = 5.7 MiB
   Load Address: 00000000
   Entry Point:  00000000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Ramdisk to 0e56c000, end 0eb16a6e ... OK
   Loading Device Tree to 0e565000, end 0e56b7e0 ... OK

Starting kernel ...

Uncompressing Linux... done, booting the kernel.
Booting Linux on physical CPU 0x0
Linux version 4.14.108-xilinx (android@Centos) (gcc version 6.4.1 20171012 (Linaro GCC 6.4-2017.11)) #4 SMP PREEMPT Sun Mar 31 08:49:02 CST 209
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt: Machine model: Zynq ebit board
bootconsole [earlycon0] enabled
earlycon: cdns0 at MMIO 0xe0001000 (options '115200n8')
Booting Linux on physical CPU 0x0
Linux version 4.14.108-xilinx (android@Centos) (gcc version 6.4.1 20171012 (Linaro GCC 6.4-2017.11)) #4 SMP PREEMPT Sun Mar 31 08:49:02 CST 209
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt: Machine model: Zynq ebit board
bootconsole [earlycon0] enabled
earlycon: cdns0 at MMIO 0xe0001000 (options '115200n8')
bootconsole [cdns0] enabled
bootconsole [cdns0] enabled
Memory policy: Data cache writealloc
Memory policy: Data cache writealloc
cma: Reserved 16 MiB at 0x0f000000
cma: Reserved 16 MiB at 0x0f000000
On node 0 totalpages: 65536
On node 0 totalpages: 65536
free_area_init_node: node 0, pgdat c0b42a40, node_mem_map cedef000
free_area_init_node: node 0, pgdat c0b42a40, node_mem_map cedef000
  Normal zone: 512 pages used for memmap
  Normal zone: 512 pages used for memmap
  Normal zone: 0 pages reserved
  Normal zone: 0 pages reserved
  Normal zone: 65536 pages, LIFO batch:15
  Normal zone: 65536 pages, LIFO batch:15
percpu: Embedded 16 pages/cpu @cedc3000 s34572 r8192 d22772 u65536
percpu: Embedded 16 pages/cpu @cedc3000 s34572 r8192 d22772 u65536
pcpu-alloc: s34572 r8192 d22772 u65536 alloc=16*4096
pcpu-alloc: s34572 r8192 d22772 u65536 alloc=16*4096
pcpu-alloc: [0] 0 [0] 1 
pcpu-alloc: [0] 0 [0] 1 
Built 1 zonelists, mobility grouping on.  Total pages: 65024
Built 1 zonelists, mobility grouping on.  Total pages: 65024
Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
PID hash table entries: 1024 (order: 0, 4096 bytes)
PID hash table entries: 1024 (order: 0, 4096 bytes)
Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
Memory: 226148K/262144K available (7168K kernel code, 269K rwdata, 1764K rodata, 1024K init, 285K bss, 19612K reserved, 16384K cma-reserved, 0)
Memory: 226148K/262144K available (7168K kernel code, 269K rwdata, 1764K rodata, 1024K init, 285K bss, 19612K reserved, 16384K cma-reserved, 0)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
    lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
    pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    modules : 0xbf000000 - 0xbfe00000   (  14 MB)
      .text : 0xc0008000 - 0xc0800000   (8160 kB)
      .init : 0xc0a00000 - 0xc0b00000   (1024 kB)
      .data : 0xc0b00000 - 0xc0b43640   ( 270 kB)
       .bss : 0xc0b43640 - 0xc0b8ad48   ( 286 kB)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
    lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
    pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    modules : 0xbf000000 - 0xbfe00000   (  14 MB)
      .text : 0xc0008000 - 0xc0800000   (8160 kB)
      .init : 0xc0a00000 - 0xc0b00000   (1024 kB)
      .data : 0xc0b00000 - 0xc0b43640   ( 270 kB)
       .bss : 0xc0b43640 - 0xc0b8ad48   ( 286 kB)
Preemptible hierarchical RCU implementation.
Preemptible hierarchical RCU implementation.
        RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
        RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
        Tasks RCU enabled.
        Tasks RCU enabled.
RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
efuse mapped to d0800000
efuse mapped to d0800000
slcr mapped to d0802000
slcr mapped to d0802000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init: clkc starts at d0802100
zynq_clock_init: clkc starts at d0802100
Zynq clock init
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
Switching to timer-based delay loop, resolution 3ns
Switching to timer-based delay loop, resolution 3ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 at d080a000, irq=17
timer #0 at d080a000, irq=17
Console: colour dummy device 80x30
Console: colour dummy device 80x30
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333333)
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333333)
pid_max: default: 32768 minimum: 301
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
CPU: Testing write buffer coherency: ok
CPU: Testing write buffer coherency: ok
CPU0: Spectre v2: using BPIALL workaround
CPU0: Spectre v2: using BPIALL workaround
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x100000 - 0x100060
Setting up static identity map for 0x100000 - 0x100060
Hierarchical SRCU implementation.
Hierarchical SRCU implementation.
smp: Bringing up secondary CPUs ...
smp: Bringing up secondary CPUs ...
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
CPU1: Spectre v2: using BPIALL workaround
CPU1: Spectre v2: using BPIALL workaround
smp: Brought up 1 node, 2 CPUs
smp: Brought up 1 node, 2 CPUs
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
devtmpfs: initialized
random: get_random_u32 called from bucket_table_alloc+0x1e0/0x220 with crng_init=0
random: get_random_u32 called from bucket_table_alloc+0x1e0/0x220 with crng_init=0
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
futex hash table entries: 512 (order: 3, 32768 bytes)
futex hash table entries: 512 (order: 3, 32768 bytes)
pinctrl core: initialized pinctrl subsystem
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
DMA: preallocated 256 KiB pool for atomic coherent allocations
cpuidle: using governor menu
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0840000
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0840000
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 27, base_baud = 6249999) is a xuartps
e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 27, base_baud = 6249999) is a xuartps
e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 28, base_baud = 6249999) is a xuartps
`k˽[ttyPS0] enabledat MMIO 0xe0001000 (irq = 28, base_baud = 6249999) is a xuartps
console [ttyPS0] enabled
console [ttyPS0] enabled
bootconsole [earlycon0] disabled
bootconsole [earlycon0] disabled
bootconsole [earlycon0] disabled
bootconsole [cdns0] disabled
bootconsole [cdns0] disabled
XGpio: /amba_pl/gpio@41200000: registered, base is 1022
XGpio: /amba_pl/gpio@41210000: registered, base is 1017
vgaarb: loaded
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
media: Linux media interface: v0.10
Linux video capture interface: v2.00
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
EDAC MC: Ver: 3.0.0
FPGA manager framework
fpga-region fpga-full: FPGA Region probed
Advanced Linux Sound Architecture Driver Initialized.
NET: Registered protocol family 8
NET: Registered protocol family 20
nfc: nfc_init: NFC Core ver 0.1
NET: Registered protocol family 39
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
TCP established hash table entries: 2048 (order: 1, 8192 bytes)
TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
TCP: Hash tables configured (established 2048 bind 2048)
UDP hash table entries: 256 (order: 1, 8192 bytes)
UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
PCI: CLS 0 bytes, default 64
Trying to unpack rootfs image as initramfs...
rootfs image is not initramfs (no cpio magic); looks like an initrd
Freeing initrd memory: 5804K
hw perfevents: no interrupt-affinity property for /pmu@f8891000, guessing.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
workingset: timestamp_bits=30 max_order=16 bucket_order=0
jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
io scheduler mq-deadline registered
io scheduler kyber registered
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac:        DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
42c00000.serial: ttyUL2 at MMIO 0x42c00000 (irq = 56, base_baud = 0) is a uartlite
brd: module loaded
loop: module loaded
libphy: Fixed MDIO Bus: probed
CAN device driver interface
libphy: MACB_mii_bus: probed
macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 32 (52:bf:a2:a5:ff:1d)
ICPlus IP101A/G e000b000.ethernet-ffffffff:00: attached PHY driver [ICPlus IP101A/G] (mii_bus:phy_addr=e000b000.ethernet-ffffffff:00, irq=POLL)
macb e000c000.ethernet: invalid hw address, using random
libphy: MACB_mii_bus: probed
macb e000c000.ethernet eth1: Cadence GEM rev 0x00020118 at 0xe000c000 irq 33 (c2:bb:33:9c:93:57)
Generic PHY e000c000.ethernet-ffffffff:01: attached PHY driver [Generic PHY] (mii_bus:phy_addr=e000c000.ethernet-ffffffff:01, irq=POLL)
e1000e: Intel(R) PRO/1000 Network Driver - 3.2.6-k
e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
i2c /dev entries driver
cdns-i2c e0004000.i2c: 400 kHz mmio e0004000 irq 23
cdns-i2c e0005000.i2c: 400 kHz mmio e0005000 irq 24
cdns-wdt f8005000.watchdog: Xilinx Watchdog Timer at d090c000 with timeout 10s
EDAC MC: ECC not enabled
Xilinx Zynq CpuIdle Driver started
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
mmc0: SDHCI controller on e0100000.mmc [e0100000.mmc] using ADMA
ledtrig-cpu: registered to indicate activity on CPUs
usbcore: registered new interface driver usbhid
usbhid: USB HID core driver
FPGA DOWNLOAD --->
FPGA image file name: xlinx_fpga_firmware.bit
GPIO INIT FAIL!!
nand: device found, Manufacturer ID: 0xef, Chip ID: 0xf1
nand: Winbond W29N01HV
nand: 128 MiB, SLC, erase size: 128 KiB, page size: 2048, OOB size: 64
Bad block table found at page 65472, version 0x01
Bad block table found at page 65408, version 0x01
nand_read_bbt: bad block at 0x000007f60000
nand_read_bbt: bad block at 0x000007f80000
nand_read_bbt: bad block at 0x000007fa0000
nand_read_bbt: bad block at 0x000007fc0000
9 ofpart partitions found on MTD device pl35x-nand
Creating 9 MTD partitions on "pl35x-nand":
0x000000000000-0x000000300000 : "nand-fsbl-uboot"
0x000000300000-0x000000800000 : "nand-linux"
0x000000800000-0x000000820000 : "nand-device-tree"
0x000000820000-0x000001220000 : "nand-rootfs"
0x000001220000-0x000002220000 : "nand-jffs2"
mmc0: new high speed SDHC card at address aaaa
0x000002220000-0x000002a20000 : "nand-bitstream"
mmcblk0: mmc0:aaaa SL16G 14.8 GiB 
0x000002a20000-0x000006a20000 : "nand-allrootfs"
0x000006a20000-0x000007e00000 : "nand-release"
 mmcblk0: p1 p2
0x000007e00000-0x000008000000 : "nand-reserve"
fpga_manager fpga0: Xilinx Zynq FPGA Manager registered
Netfilter messages via NETLINK v0.30.
nf_conntrack version 0.5.0 (4096 buckets, 16384 max)
nf_tables: (c) 2007-2009 Patrick McHardy <kaber@trash.net>
ip_set: protocol 6
ipip: IPv4 and MPLS over IPv4 tunneling driver
gre: GRE over IPv4 demultiplexor driver
ip_gre: GRE over IPv4 tunneling driver
IPv4 over IPsec tunneling driver
Initializing XFRM netlink socket
NET: Registered protocol family 10
Segment Routing with IPv6
sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
NET: Registered protocol family 17
Bridge firewalling registered
Ebtables v2.0 registered
can: controller area network core (rev 20170425 abi 9)
NET: Registered protocol family 29
can: raw protocol (rev 20170425)
can: broadcast manager protocol (rev 20170425 t)
can: netlink gateway (rev 20170425) max_hops=1
l2tp_core: L2TP core driver, V2.0
8021q: 802.1Q VLAN Support v1.8
Registering SWP/SWPB emulation handler
input: gpio-keys as /devices/soc0/gpio-keys/input/input0
hctosys: unable to open rtc device (rtc0)
of_cfs_init
of_cfs_init: OK
ALSA device list:
  No soundcards found.
RAMDISK: gzip image found at block 0
EXT4-fs (ram0): mounted filesystem without journal. Opts: (null)
VFS: Mounted root (ext4 filesystem) on device 1:0.
Starting rcS...
++ Mounting filesystem
++ Setting up mdev
random: fast init done
EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
++ Starting telnet daemon
++ Starting http daemon
++ Starting ftp daemon
++ Starting ssh daemon
random: sshd: uninitialized urandom read (32 bytes read)
++ Try to start led-play daemon
export_store: invalid GPIO 110
sh: write error: Invalid argument
Dip Switch check failed, skip led_play ...
rcS Complete
Z-turn# 

最近编辑记录 xiaohui (2019-06-12 17:58:27)

离线

#115 2019-06-13 17:05:32

Steven.Tian
会员
注册时间: 2019-06-13
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

刚刚入手了一块,跟大佬们学习

离线

#116 2019-06-13 21:50:36

zpyws
会员
注册时间: 2018-07-19
已发帖子: 33
积分: 30

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

纯围观,年纪大了,玩不动

离线

#117 2019-06-14 09:51:16

深南大盗
会员
注册时间: 2017-10-17
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

好帖,先标记一下。

离线

#118 2019-06-14 21:40:26

854619267
会员
注册时间: 2019-06-05
已发帖子: 16
积分: 10.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

帖子怎么变成1页了   前几天还有第二页呢

离线

#119 2019-06-14 21:46:21

晕哥
管理员
所在地: 微信 whycan_cn
注册时间: 2017-09-06
已发帖子: 9,223
积分: 9197

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

854619267 说:

帖子怎么变成1页了   前几天还有第二页呢

以前是 75 个帖子分页, 现在改为 200 了, 所以只有一页.

不是还是担心怕把网友的浏览器搞炸裂.





离线

#120 2019-06-16 17:55:27

whb565938
会员
注册时间: 2019-06-16
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

那位大侠,大师写一个。买个新矿机怎么通过TFTP把镜像刷到NANDFLASH里面去的帖子。

离线

#121 2019-06-17 10:50:10

longfei_3
会员
注册时间: 2019-06-17
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

谢谢大神分享资料,刚在咸鱼40收了2块,准备拆下芯片自己做板子玩

离线

楼主 #122 2019-06-19 22:53:57

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

longfei_3 说:

谢谢大神分享资料,刚在咸鱼40收了2块,准备拆下芯片自己做板子玩

坐等pcb,讲道理再版确实有不少局限性

离线

#123 2019-06-30 23:55:25

metro
会员
注册时间: 2019-03-09
已发帖子: 442
积分: 486

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

周末花了点时间尝试走了一遍板子的开发流程,目前移植的U-Boot已经能用了,但是NAND和网络暂时还不可用。NAND的主要问题是SPL下的驱动不完善(看来没人在Zynq上用NAND启动233),网络的主要问题是还没搞定EMIO的初始化(不过应该加载.bit文件后就能用了?不确定驱动对百兆网络支持如何)。后面要是解决了这些bug就把U-Boot发上来 smile

这里记录一下中间遇到的一些问题。

入门的话,我觉得EBAZ4205 ZYNQ 7Z010 裸机程序NAND固化 JTAG调试方法这篇说得最好,按照他的做法一次就能过了。唯一想吐槽的是NAND,我从Winbond官网找到了对应的datasheet,结果一通设置之后竟然读不出NAND的信息。。反而是博客里面看起来不靠谱的这个设置是可用的,不知道是什么原因。

在熟悉了开发的流程之后,如果之后不需要从SDK生成和编译代码(比如说编译U-Boot),那么比较建议使用XSCT,这是Vivado自带的命令行下的工具,比SDK好用多了。执行的流程大概是这样的:

connect # 连接到开发板
target 2 # 指定调试目标为2,即双核中的#0核

# 初始化Zynq,如果需要初始化外设(例如SDRAM)则需要执行,如果只是要加载到OCM则可以不用执行
source xxx/ps7_init.tcl # 加载tcl文件,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中
ps7_init # 执行初始化流程,可能需要一点时间

# 加载elf文件,由于elf文件自带执行地址,因此不需要指定载入地址,且会自动设置pc到开始位置
dow xxx.elf
# 也可以加载普通文件(包括二进制文件),但是需要指定载入地址,且需要加上-data
dow -data xxx.yyy addr1
rwr pc addr2 # 设置pc到开始位置

# 加载bitstream
fpga -file xxx.bit # 加载bitstream,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中

# 开始执行
con

以上方法试验过,可以启动U-Boot的SPL和本体(本体可以初始化后直接丢到SDRAM执行,挺方便的),但是自己编译的lwip Echo Server似乎用不了(理论上需要分别加载bitstream和elf),可以启动但提示初始化失败,不知道是什么原因。

另外顺便吐槽一下Create Boot Image功能,在指定elf文件的时候一切正常,但在指定bin文件的时候并不会计算大小,而是直接置零,按照定义置零表示XIP,因此在SD卡上就不能正常启动了 roll 。还有就是建议使用最新的Vivado和Zynq TRM,否则对于Boot Header的定义会有出入。。

离线

#124 2019-07-03 19:59:34

touren
会员
注册时间: 2019-07-03
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

入坑了,从TF卡启动OK,继续跟大侠们走!

离线

#125 2019-07-15 14:30:56

alualong
会员
注册时间: 2019-07-15
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

上边的电源芯片 J37M 怎么查不到啊?

离线

楼主 #126 2019-07-16 21:36:40

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

alualong 说:

上边的电源芯片 J37M 怎么查不到啊?

同问啊,我也想知道是啥型号

离线

#127 2019-07-17 18:48:53

yywyai
会员
注册时间: 2019-01-17
已发帖子: 64
积分: 104

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:
alualong 说:

上边的电源芯片 J37M 怎么查不到啊?

同问啊,我也想知道是啥型号

如果说的是板上SOT32-6的那个电源芯片的话,应该是 TPS563201

离线

#128 2019-08-08 17:26:40

dino678
会员
注册时间: 2019-08-05
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

整理了一下配置管脚
EBAZ4205启动模式配置
ZYNQ700SOC启动模式配置

最近编辑记录 dino678 (2019-08-08 17:27:52)

离线

#129 2019-08-08 20:35:11

aozima
会员
所在地: 深圳
注册时间: 2019-05-25
已发帖子: 446
积分: 313.5
个人网站

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

收了2片吃灰,打算过几年再玩。

离线

#130 2019-08-16 13:33:10

htjw
会员
注册时间: 2019-04-25
已发帖子: 18
积分: 8

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

现在闲鱼上没有便宜的板子了。大家都是从哪里买的?

离线

#131 2019-08-16 13:48:29

pythinker
会员
注册时间: 2019-02-12
已发帖子: 215
积分: 215

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

htjw 说:

现在闲鱼上没有便宜的板子了。大家都是从哪里买的?

真服了楼上的大神们, 把闲鱼矿渣买到涨价

离线

楼主 #132 2019-08-21 00:24:59

posystorage
会员
注册时间: 2018-05-06
已发帖子: 165
积分: 556

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

pythinker 说:
htjw 说:

现在闲鱼上没有便宜的板子了。大家都是从哪里买的?

真服了楼上的大神们, 把闲鱼矿渣买到涨价

好像现在已经不白菜了 都被大佬们包圆了

离线

#133 2019-08-23 16:52:03

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

metro 说:

周末花了点时间尝试走了一遍板子的开发流程,目前移植的U-Boot已经能用了,但是NAND和网络暂时还不可用。NAND的主要问题是SPL下的驱动不完善(看来没人在Zynq上用NAND启动233),网络的主要问题是还没搞定EMIO的初始化(不过应该加载.bit文件后就能用了?不确定驱动对百兆网络支持如何)。后面要是解决了这些bug就把U-Boot发上来 smile

这里记录一下中间遇到的一些问题。

入门的话,我觉得EBAZ4205 ZYNQ 7Z010 裸机程序NAND固化 JTAG调试方法这篇说得最好,按照他的做法一次就能过了。唯一想吐槽的是NAND,我从Winbond官网找到了对应的datasheet,结果一通设置之后竟然读不出NAND的信息。。反而是博客里面看起来不靠谱的这个设置是可用的,不知道是什么原因。

在熟悉了开发的流程之后,如果之后不需要从SDK生成和编译代码(比如说编译U-Boot),那么比较建议使用XSCT,这是Vivado自带的命令行下的工具,比SDK好用多了。执行的流程大概是这样的:

connect # 连接到开发板
target 2 # 指定调试目标为2,即双核中的#0核

# 初始化Zynq,如果需要初始化外设(例如SDRAM)则需要执行,如果只是要加载到OCM则可以不用执行
source xxx/ps7_init.tcl # 加载tcl文件,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中
ps7_init # 执行初始化流程,可能需要一点时间

# 加载elf文件,由于elf文件自带执行地址,因此不需要指定载入地址,且会自动设置pc到开始位置
dow xxx.elf
# 也可以加载普通文件(包括二进制文件),但是需要指定载入地址,且需要加上-data
dow -data xxx.yyy addr1
rwr pc addr2 # 设置pc到开始位置

# 加载bitstream
fpga -file xxx.bit # 加载bitstream,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中

# 开始执行
con

以上方法试验过,可以启动U-Boot的SPL和本体(本体可以初始化后直接丢到SDRAM执行,挺方便的),但是自己编译的lwip Echo Server似乎用不了(理论上需要分别加载bitstream和elf),可以启动但提示初始化失败,不知道是什么原因。

另外顺便吐槽一下Create Boot Image功能,在指定elf文件的时候一切正常,但在指定bin文件的时候并不会计算大小,而是直接置零,按照定义置零表示XIP,因此在SD卡上就不能正常启动了 roll 。还有就是建议使用最新的Vivado和Zynq TRM,否则对于Boot Header的定义会有出入。。

uboot里使用网络不正常可能是批号不同导致的设备树不一致,我尝试过sd卡启动后把nand里的设备树拷贝出来替换掉现有的,网络正常。关于lwip echo server的编译过程可以进群(464302622)的群共享看视频,我之前1803的板子的设备树也在里面。网络正常。

离线

#134 2019-09-30 10:50:50

RainlyWy
会员
注册时间: 2019-09-30
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

楼主,为啥 我4203板子裸机SD可以启动也可以打印,用win32diskimager将xilinux-2.0.img写入镜像到SD卡,替换fat分区文件放到板子中没有任何打印,然后我自己的petalinux也不打印,能给我分析一下吗?

离线

#135 2019-10-11 23:19:24

演技担当黄晓明
会员
注册时间: 2017-10-17
已发帖子: 183
积分: 121.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

卖完了?其他的都涨价到100+

离线

#136 2019-11-20 14:47:06

kekemuyu
会员
注册时间: 2018-12-13
已发帖子: 841
积分: 721

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

actionchen 说:

我是无意中咸鱼看到的,小白一枚想学习FPGA,这么便宜的板子就当玩了。30一块,邮费10块,四川居然收12块邮费。。。
到手后,焊UART插针和JTAG插针,没喷锡的焊盘好难焊啊,烙铁开到400度,结果焊半天好不容易焊上了,丑的一逼。

我看有大佬说5V也能启动,结果接下来用USB转TTL的那个5V启动不起来,估计电流不够,虽然我电脑是USB3.0的。换上12V电源。很快就欢唱起来了。

本来想一步一步跟大佬学uboot,kernel,device tree,rootfs,这么来的,但TF卡座还没到。也没有JTAG线。想着学FPGA先忽略这个linux吧,那就能用先。
第一步就是要备份,万一出错了还能还原,根据前面楼层大佬的备份NAND数据和分区的方法,几个NAND分区全备份了。

根据系统启动的信息

9 ofpart partitions found on MTD device pl35x-nand
Creating 9 MTD partitions on "pl35x-nand":
0x000000000000-0x000000300000 : "nand-fsbl-uboot"
0x000000300000-0x000000800000 : "nand-linux"
0x000000800000-0x000000820000 : "nand-device-tree"
0x000000820000-0x000001220000 : "nand-rootfs"
0x000001220000-0x000002220000 : "nand-jffs2"
0x000002220000-0x000002a20000 : "nand-bitstream"
0x000002a20000-0x000006a20000 : "nand-allrootfs"
0x000006a20000-0x000007e00000 : "nand-release"
0x000007e00000-0x000008000000 : "nand-reserve"

一个一个分区的备份吧

zynq-uboot> setenv ipaddr 192.168.5.200
zynq-uboot> setenv serverip 192.168.5.28
zynq-uboot> nand read 0x100000 0x000000 0x300000

NAND read: device 0 offset 0x0, size 0x300000
 3145728 bytes read: OK
zynq-uboot> tftpput 0x100000 0x300000 fsbl-uboot
Gem.e000b000:0 is connected to Gem.e000b000.  Reconnecting to Gem.e000b000
Gem.e000b000 Waiting for PHY auto negotiation to complete... done
Gem.e000b000----100----4047----
Using Gem.e000b000 device
TFTP to server 192.168.5.28; our IP address is 192.168.5.200
Filename 'fsbl-uboot'.
Save address: 0x100000
Save size:    0x300000
Saving: ################################################################
         #################################################################
         #################################################################
         ####################
         2.3 MiB/s
done
Bytes transferred = 3145728 (300000 hex)
zynq-uboot> nand read 0x100000 0x300000 0x500000

NAND read: device 0 offset 0x300000, size 0x500000
 5242880 bytes read: OK
zynq-uboot> tftpput 0x100000 0x500000 linux     
Gem.e000b000:0 is connected to Gem.e000b000.  Reconnecting to Gem.e000b000
Gem.e000b000 Waiting for PHY auto negotiation to complete... done
Gem.e000b000----100----4047----
Using Gem.e000b000 device
TFTP to server 192.168.5.28; our IP address is 192.168.5.200
Filename 'linux'.
Save address: 0x100000
Save size:    0x500000
Saving: ################################################################
         #################################################################
         #################################################################
         #################################################################
         #################################################################
         #################################
         2.1 MiB/s
done
Bytes transferred = 5242880 (500000 hex)
zynq-uboot> nand read 0x100000 0x800000 0x20000 

NAND read: device 0 offset 0x800000, size 0x20000
 131072 bytes read: OK
zynq-uboot> tftpput 0x100000 0x20000 devicetree.dtb 
Gem.e000b000:0 is connected to Gem.e000b000.  Reconnecting to Gem.e000b000
Gem.e000b000 Waiting for PHY auto negotiation to complete... done
Gem.e000b000----100----4047----
Using Gem.e000b000 device
TFTP to server 192.168.5.28; our IP address is 192.168.5.200
Filename 'devicetree.dtb'.
Save address: 0x100000
Save size:    0x20000
Saving: ########
         2 MiB/s
done
Bytes transferred = 131072 (20000 hex)

大概是这样把9个分区全部备份好了

第二步就想着有现成的系统,破解下口令,然后把挖矿的程序停了不就可以开搞了吗?

其实linux系统root口令很好破,只要你拿到文件系统了。直接把/etc/passwd 里面root对应的加密密码字段删掉就可以了,再启动输入root就会自动进入系统不会让你输入密码了。

我们备份的额9个分区内容中,密码就在0x000002a20000-0x000006a20000 : "nand-allrootfs" 这个分区里面,具体挂载这个文件系统的方法我参考了
https://www.cnblogs.com/findumars/p/5869608.html
https://blog.csdn.net/long0801/article/details/77368205
两篇文章,挂载好后,直接编辑etc/passwd,修改成下面这样.

root::0:0:root:/home/root:/bin/sh

然后顺便把挖矿的控制程序关掉吧

mv etc/rcS.d/S95cgminer.sh home/root/

注意,操作的时候是在allrootfs的挂载点下操作,不要操作到宿主机的文件了。
然后按照参考的那两篇文章中方法重新打包,我的命令是:

mkfs.jffs2 -r /tmp/aa/ -o allrootfs.new -e 0x20000 --pad=0x4000000 -n -l

/tmp/aa 是我的allrootfs挂载点,allrootfs.new是新生成的分区文件 ,其余参数照做应该没问题。

第三部就是把改好的系统文件烧回nand去。

zynq-uboot> tftpboot 0x100000 allrootfs.new
zynq-uboot> nand erase 0x2a20000 0x4000000
zynq-uboot> nand write 0x100000  0x2a20000 0x4000000

这样写完就可以愉快的重启了。登录的时候直接输入root就进系统了。接下来要玩FPGA就方便了。不过我以后还是想从头编译刷机刷一刷。

大神修改后的文件系统还有吗?修改文件系统太麻烦了

在线

#137 2019-11-20 23:19:49

wind_west
会员
注册时间: 2019-11-20
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这是大神,膜拜。

离线

#138 2019-11-25 14:50:36

robertlee2014
会员
注册时间: 2019-11-25
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

新人求问下供电该怎么解决?现在知道要短接二极管和6pin供电,6pin那个接口还要自己焊接dc吗?或者有没有其他的推荐呢?

离线

#139 2019-11-29 10:27:14

2505300733
会员
所在地: 广州
注册时间: 2019-10-24
已发帖子: 29
积分: 29

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posystorage 说:

来一起玩啊,资料都有了,赶紧上车




公司也买了一套。 黑金的1K多贵的一批

离线

#140 2019-12-01 21:27:00

Gbear
会员
注册时间: 2019-11-15
已发帖子: 34
积分: 53

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

big_smile 跟你们一起不掉坑才怪了

离线

#141 2019-12-20 21:26:49

pqpqme
会员
注册时间: 2019-12-15
已发帖子: 3
积分: 2.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

群464302622满了。。。求加
俺qq:1938723,求老大带路

离线

#142 2019-12-31 16:59:29

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

pqpqme 说:

群464302622满了。。。求加
俺qq:1938723,求老大带路

已升级,欢迎进群交流!!

离线

#143 2020-01-03 21:39:10

blade_cheng
会员
注册时间: 2018-10-15
已发帖子: 72
积分: 68

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我是说前面那个仿真器看起来眼熟呢,果然是那个HS3

离线

#144 2020-01-08 12:38:09

oayzw
会员
注册时间: 2019-04-08
已发帖子: 23
积分: 8

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

离线

#145 2020-01-08 13:45:38

oayzw
会员
注册时间: 2019-04-08
已发帖子: 23
积分: 8

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

HelloWorld:
https://hhuysqt.github.io/zynq1/#more

最近编辑记录 oayzw (2020-01-08 13:48:21)

离线

#146 2020-01-10 07:20:19

radar
会员
注册时间: 2020-01-10
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这板子就是接口少一些,不过新手拿来熟悉开发工具那还是非常值的。

离线

#147 2020-01-16 16:48:50

kit7828
会员
注册时间: 2019-12-28
已发帖子: 15
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

mark下

离线

#148 2020-02-18 09:22:36

xiongxinjian
会员
注册时间: 2019-01-13
已发帖子: 43
积分: 13

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

metro 说:

周末花了点时间尝试走了一遍板子的开发流程,目前移植的U-Boot已经能用了,但是NAND和网络暂时还不可用。NAND的主要问题是SPL下的驱动不完善(看来没人在Zynq上用NAND启动233),网络的主要问题是还没搞定EMIO的初始化(不过应该加载.bit文件后就能用了?不确定驱动对百兆网络支持如何)。后面要是解决了这些bug就把U-Boot发上来 smile

这里记录一下中间遇到的一些问题。

入门的话,我觉得EBAZ4205 ZYNQ 7Z010 裸机程序NAND固化 JTAG调试方法这篇说得最好,按照他的做法一次就能过了。唯一想吐槽的是NAND,我从Winbond官网找到了对应的datasheet,结果一通设置之后竟然读不出NAND的信息。。反而是博客里面看起来不靠谱的这个设置是可用的,不知道是什么原因。

在熟悉了开发的流程之后,如果之后不需要从SDK生成和编译代码(比如说编译U-Boot),那么比较建议使用XSCT,这是Vivado自带的命令行下的工具,比SDK好用多了。执行的流程大概是这样的:

connect # 连接到开发板
target 2 # 指定调试目标为2,即双核中的#0核

# 初始化Zynq,如果需要初始化外设(例如SDRAM)则需要执行,如果只是要加载到OCM则可以不用执行
source xxx/ps7_init.tcl # 加载tcl文件,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中
ps7_init # 执行初始化流程,可能需要一点时间

# 加载elf文件,由于elf文件自带执行地址,因此不需要指定载入地址,且会自动设置pc到开始位置
dow xxx.elf
# 也可以加载普通文件(包括二进制文件),但是需要指定载入地址,且需要加上-data
dow -data xxx.yyy addr1
rwr pc addr2 # 设置pc到开始位置

# 加载bitstream
fpga -file xxx.bit # 加载bitstream,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中

# 开始执行
con

以上方法试验过,可以启动U-Boot的SPL和本体(本体可以初始化后直接丢到SDRAM执行,挺方便的),但是自己编译的lwip Echo Server似乎用不了(理论上需要分别加载bitstream和elf),可以启动但提示初始化失败,不知道是什么原因。

另外顺便吐槽一下Create Boot Image功能,在指定elf文件的时候一切正常,但在指定bin文件的时候并不会计算大小,而是直接置零,按照定义置零表示XIP,因此在SD卡上就不能正常启动了 roll 。还有就是建议使用最新的Vivado和Zynq TRM,否则对于Boot Header的定义会有出入。。


我参考这个烧录时提示Device 0: nand0, sector size 128 KiB

  Page size       2048 b

  OOB size          64 b

  Erase size    131072 b

  subpagesize      512 b

  options     0x00000000

  bbt options 0x00020000

Zynq> Sector size = 131072.
and info


Performing Erase Operation...
nand bad




Device 0 bad blocks:

Zynq> Number of bad blocks = 0
nand erase 0 240000




NAND erase: device 0 offset 0x0, size 0x240000


Erasing at 0x0 --   5% complete.
Erasing at 0x20000 --  11% complete.
Erasing at 0x40000 --  16% complete.
Erasing at 0x60000 --  22% complete.
Erasing at 0x80000 --  27% complete.
Erasing at 0xa0000 --  33% complete.
Erasing at 0xc0000 --  38% complete.
Erasing at 0xe0000 --  44% complete.
Erasing at 0x100000 --  50% complete.
Erasing at 0x120000 --  55% complete.
Erasing at 0x140000 --  61% complete.
Erasing at 0x160000 --  66% complete.
Erasing at 0x180000 --  72% complete.
Erasing at 0x1a0000 --  77% complete.
Erasing at 0x1c0000 --  83% complete.
Erasing at 0x1e0000 --  88% complete.
Erasing at 0x200000 --  94% complete.
Erasing at 0x220000 -- 100% complete.

OK

Zynq> Erase Operation successful.
INFO: [Xicom 50-44] Elapsed time = 1 sec.
Performing Program Operation...
nand bad




Device 0 bad blocks:

Zynq> Number of bad blocks = 0
0%...nand write 0 0 20000




NAND write: device 0 offset 0x0, size 0x20000

NAND write to offset 0 failed -12

0 bytes written: ERROR

Zynq> nand write 0 20000 20000




NAND write: device 0 offset 0x20000, size 0x20000

NAND write to offset 20000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 40000 20000




NAND write: device 0 offset 0x40000, size 0x20000

NAND write to offset 40000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 60000 20000




NAND write: device 0 offset 0x60000, size 0x20000

NAND write to offset 60000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 80000 20000




NAND write: device 0 offset 0x80000, size 0x20000

NAND write to offset 80000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 A0000 20000




NAND write: device 0 offset 0xa0000, size 0x20000

NAND write to offset a0000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 C0000 20000




NAND write: device 0 offset 0xc0000, size 0x20000

NAND write to offset c0000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 E0000 20000




NAND write: device 0 offset 0xe0000, size 0x20000

NAND write to offset e0000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 100000 20000




NAND write: device 0 offset 0x100000, size 0x20000

NAND write to offset 100000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 120000 20000




NAND write: device 0 offset 0x120000, size 0x20000

NAND write to offset 120000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 140000 20000




NAND write: device 0 offset 0x140000, size 0x20000

NAND write to offset 140000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 160000 20000




NAND write: device 0 offset 0x160000, size 0x20000

NAND write to offset 160000 failed -12

0 bytes written: ERROR

Zynq> 70%...nand write 0 180000 20000




NAND write: device 0 offset 0x180000, size 0x20000

NAND write to offset 180000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 1A0000 20000




NAND write: device 0 offset 0x1a0000, size 0x20000

NAND write to offset 1a0000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 1C0000 20000




NAND write: device 0 offset 0x1c0000, size 0x20000

NAND write to offset 1c0000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 1E0000 20000




NAND write: device 0 offset 0x1e0000, size 0x20000

NAND write to offset 1e0000 failed -12

0 bytes written: ERROR

Zynq> nand write 0 200000 20000




NAND write: device 0 offset 0x200000, size 0x20000

NAND write to offset 200000 failed -12

0 bytes written: ERROR

Zynq> 100%
nand write 0 220000 2800




NAND write: device 0 offset 0x220000, size 0x2800

NAND write to offset 220000 failed -12

0 bytes written: ERROR

Zynq> Program Operation successful.
INFO: [Xicom 50-44] Elapsed time = 10 sec.

Flash Operation Successful

提示烧录失败,运行不了。你烧录的信息是怎么样的?

离线

#149 2020-03-20 20:29:57

memoff
会员
注册时间: 2020-03-20
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

真乃神贴,好多有用的资料,成功助我入坑,咸鱼了3块板子,准备先照着DIY一个下载器,然后一路ps+pl

离线

#150 2020-04-15 09:30:31

sunnyz
会员
注册时间: 2020-04-15
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

好帖,能把矿渣变废为宝,准备入坑!

离线

#151 2020-04-18 19:28:12

MNDJ
会员
注册时间: 2020-04-18
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

posy大神的帖子真是巨有营养,马克了,新玩具+1

离线

#152 2020-04-21 23:59:02

大海
会员
注册时间: 2020-04-21
已发帖子: 21
积分: 16

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

也准备入手1个过来玩玩,咸鱼上面很多,不知道好坏,楼主能推荐一下吗

离线

#153 2020-04-22 08:23:01

hexenzhou
会员
注册时间: 2020-03-24
已发帖子: 21
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

手头有2块这个板子,吃灰很久了,看能不能玩起来。

离线

#154 2020-04-28 16:13:07

weiweiwyj
会员
注册时间: 2020-04-28
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

metro 说:

周末花了点时间尝试走了一遍板子的开发流程,目前移植的U-Boot已经能用了,但是NAND和网络暂时还不可用。NAND的主要问题是SPL下的驱动不完善(看来没人在Zynq上用NAND启动233),网络的主要问题是还没搞定EMIO的初始化(不过应该加载.bit文件后就能用了?不确定驱动对百兆网络支持如何)。后面要是解决了这些bug就把U-Boot发上来 smile

这里记录一下中间遇到的一些问题。

入门的话,我觉得EBAZ4205 ZYNQ 7Z010 裸机程序NAND固化 JTAG调试方法这篇说得最好,按照他的做法一次就能过了。唯一想吐槽的是NAND,我从Winbond官网找到了对应的datasheet,结果一通设置之后竟然读不出NAND的信息。。反而是博客里面看起来不靠谱的这个设置是可用的,不知道是什么原因。

在熟悉了开发的流程之后,如果之后不需要从SDK生成和编译代码(比如说编译U-Boot),那么比较建议使用XSCT,这是Vivado自带的命令行下的工具,比SDK好用多了。执行的流程大概是这样的:

connect # 连接到开发板
target 2 # 指定调试目标为2,即双核中的#0核

# 初始化Zynq,如果需要初始化外设(例如SDRAM)则需要执行,如果只是要加载到OCM则可以不用执行
source xxx/ps7_init.tcl # 加载tcl文件,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中
ps7_init # 执行初始化流程,可能需要一点时间

# 加载elf文件,由于elf文件自带执行地址,因此不需要指定载入地址,且会自动设置pc到开始位置
dow xxx.elf
# 也可以加载普通文件(包括二进制文件),但是需要指定载入地址,且需要加上-data
dow -data xxx.yyy addr1
rwr pc addr2 # 设置pc到开始位置

# 加载bitstream
fpga -file xxx.bit # 加载bitstream,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中

# 开始执行
con

以上方法试验过,可以启动U-Boot的SPL和本体(本体可以初始化后直接丢到SDRAM执行,挺方便的),但是自己编译的lwip Echo Server似乎用不了(理论上需要分别加载bitstream和elf),可以启动但提示初始化失败,不知道是什么原因。

另外顺便吐槽一下Create Boot Image功能,在指定elf文件的时候一切正常,但在指定bin文件的时候并不会计算大小,而是直接置零,按照定义置零表示XIP,因此在SD卡上就不能正常启动了 roll 。还有就是建议使用最新的Vivado和Zynq TRM,否则对于Boot Header的定义会有出入。。


大神搞定了吗?我自己移植的uboot,不管是用的petalinux还是源码移植,网络都是不可用的,在设备树里找到了phyaddr并修改为0,但网络仍然不通,硬件bit文件是通过SDK生成镜像时一并添加进去生成了BOOT.BIN文件。还有在menuconfig里配置了saveenv选项,但是在uboot下使用此领命提示无此命令。

离线

#155 2020-05-09 09:16:55

jcwangzi
会员
注册时间: 2020-05-05
已发帖子: 7
积分: 7

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

感谢 @posystorage 大神分享!!!

离线

#156 2020-05-11 13:54:55

liyucai
会员
注册时间: 2019-12-06
已发帖子: 43
积分: 30

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

现在又出来了一种新的矿板,antminer S9。看原理图比这款板要合理,用32位 DDR。据说咸鱼能买到。

离线

#157 2020-05-11 16:24:54

gimmychen
会员
注册时间: 2020-05-11
已发帖子: 7
积分: 7

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

厉害,超实惠的开发板,收藏了

离线

#158 2020-05-11 17:20:52

虾兵蟹将
会员
注册时间: 2020-04-24
已发帖子: 7
积分: 7

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

买了1块,在吃灰。

离线

#159 2020-05-12 22:43:03

jcwangzi
会员
注册时间: 2020-05-05
已发帖子: 7
积分: 7

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

verilog c Linux、FPGA全都能搞

离线

#160 2020-05-13 00:50:14

mawmanager
会员
注册时间: 2020-04-17
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

前排围观

离线

#161 2020-05-15 22:50:49

zhy991112
会员
注册时间: 2020-05-15
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

买了两块,已入坑,从头观摩到尾,知识量很大,慢慢消化

离线

#162 2020-05-17 13:00:11

traff07
会员
注册时间: 2019-06-07
已发帖子: 0
积分: 0

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

超实惠的开发板,谢谢分享

离线

#163 2020-05-19 16:03:56

kingandson
会员
注册时间: 2020-05-19
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

闲鱼买了两块,观摩学习,群里牛人太多。

离线

#164 2020-05-19 21:36:24

jadeone
会员
注册时间: 2020-05-19
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

请问,我4203得板子用win32diskimager将xilinux-2.0.img将镜像写入到sd卡,替换了fat分区文件,放到板子中没有任何打印,请问各位大佬能帮忙指点下嘛,谢谢!!!

离线

#165 2020-05-19 21:41:33

jadeone
会员
注册时间: 2020-05-19
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

RainlyWy 说:

楼主,为啥 我4203板子裸机SD可以启动也可以打印,用win32diskimager将xilinux-2.0.img写入镜像到SD卡,替换fat分区文件放到板子中没有任何打印,然后我自己的petalinux也不打印,能给我分析一下吗?

离线

#166 2020-05-19 21:54:21

jadeone
会员
注册时间: 2020-05-19
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

梳理下操作:
1、更改电阻位置:R2584移植到R2577位置上;
2、将D2短接;
3、焊接好串口、tf卡座以及电源输出;
4、将sd卡用win32disk imager工具烧录镜像xillinux-2.0.img;
5、将fat分区原有的文件全部删除;
6、将以下文件全部移入fat分区中:BOOT.bin;fsbl.bif;system.bit.bin;uImage;uramdick.image.gz;zynq-ebaz.dtb;uEnv.txt;七个文件;
7、最后将文件zynq-ebaz.dtb重命名为devicetree.dtb;
8、打开串口,波特率为115200,上电;
9、发现emmmmm串口没打印信息,单板指示灯不亮。。。
最后麻烦各位大佬帮忙看看,拜托了

离线

#167 2020-05-20 20:46:01

nalzok
会员
注册时间: 2020-05-20
已发帖子: 11
积分: 11

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

“关于lwip echo server的编译过程可以进群(464302622)的群共享看视频” 可是那个视频我下载下来之后没有声音啊,请问文件是 ebaz4205_uart_lwip.mp4 吗?一脸懵逼

xiaohui 说:

uboot里使用网络不正常可能是批号不同导致的设备树不一致,我尝试过sd卡启动后把nand里的设备树拷贝出来替换掉现有的,网络正常。关于lwip echo server的编译过程可以进群(464302622)的群共享看视频,我之前1803的板子的设备树也在里面。网络正常。

connect # 连接到开发板
target 2 # 指定调试目标为2,即双核中的#0核

# 初始化Zynq,如果需要初始化外设(例如SDRAM)则需要执行,如果只是要加载到OCM则可以不用执行
source xxx/ps7_init.tcl # 加载tcl文件,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中
ps7_init # 执行初始化流程,可能需要一点时间

# 加载elf文件,由于elf文件自带执行地址,因此不需要指定载入地址,且会自动设置pc到开始位置
dow xxx.elf
# 也可以加载普通文件(包括二进制文件),但是需要指定载入地址,且需要加上-data
dow -data xxx.yyy addr1
rwr pc addr2 # 设置pc到开始位置

# 加载bitstream
fpga -file xxx.bit # 加载bitstream,一般位于项目目录的yyy.sdk/zzz_wrapper/文件夹中

# 开始执行
con

最近编辑记录 nalzok (2020-05-20 20:46:44)

离线

#168 2020-05-20 21:09:08

xiaohui
会员
注册时间: 2019-01-15
已发帖子: 259
积分: 162.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

nalzok 说:

“关于lwip echo server的编译过程可以进群(464302622)的群共享看视频” 可是那个视频我下载下来之后没有声音啊,请问文件是 ebaz4205_uart_lwip.mp4 吗?一脸懵逼

按照视频一模一样的操作即可

离线

#169 2020-05-23 15:19:44

jadeone
会员
注册时间: 2020-05-19
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

xiaohui 说:

## PS:
如果刚拿到板子请先把上电测试的帖子检查一下板子是都正常
## 接上tf卡但是先不要修改启动的设备
接上tf卡上电,检查mmc是否识别成功。mmc是否挂载成功

## 烧录下载镜像到tf卡
1,下载群(群号464302622)里的pynq_z2_v2.3.zip,执行

unzip pynq_z2_v2.3.zip

解压。
2,

sudo dd if=./pynq_z2_v2.3.img of=/dev/sdc

(这里请修改成自己系3,统里的tf卡路径)
4,然后执行

sync

5,检查105MB大小的分区是都fat格式,如果不是fat格式的话就格式化分区为fat格式。
6,复制群共享里的BOOT.bin,fsbl.bif,linux.config,system.bit.bin,uEnv.txt,uImage,uramdisk.image.gz,zynq-ebaz.dtb到fat分区
7,将zynq-ebaz.dtb文件重命名为devicetree.dtb

## 修改启动设备为tf卡
https://whycan.cn/files/members/884/TIM20190402130928.jpg
把R2584的电阻拆下来焊接到R2577的位置。
## 上电启动

U-Boot 2019.01-07011-g5ff8217-dirty (Mar 31 2019 - 08:09:08 +0800)

CPU:   Zynq 7z010
Silicon: v3.1
Model: Zynq MicroZED Board
I2C:   ready
DRAM:  ECC disabled 256 MiB
Watchdog: Started
NAND:  128 MiB
MMC:   mmc@e0100000: 0
In:    serial@e0001000
Out:   serial@e0001000
Err:   serial@e0001000
Net:   ZYNQ GEM: e000b000, phyaddr 0, interface mii

Warning: ethernet@e000b000 (eth0) using random MAC address - 52:bf:a2:a5:ff:1d
eth0: ethernet@e000b000ZYNQ GEM: e000c000, phyaddr 1, interface rmii
PHY is not detected
GEM PHY init failed
, eth-1: ethernet@e000c000
531 bytes read in 8 ms (64.5 KiB/s)
Importing environment from SD ...
Hit any key to stop autoboot:  0 
!!!
!!! Booting cmd is deprecated (will be removed in 2020).
!!! Please move to distro bootcmd.
!!!
Device: mmc@e0100000
Manufacturer ID: 3
OEM: 5344
Name: SL16G 
Bus Speed: 50000000
Mode : SD High Speed (50MHz)
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 14.8 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
531 bytes read in 6 ms (85.9 KiB/s)
Loaded environment from uEnv.txt
Importing environment from SD ...
Running uenvcmd ...
Loading bitstream from SD/MMC/eMMC to RAM..
2083852 bytes read in 135 ms (14.7 MiB/s)
  design filename = "design_1_wrapper;UserID=0XFFFFFFFF;Version=2018.3"
  part number = "7z010clg400"
  date = "2019/03/31"
  time = "07:11:02"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100070 to fff80(swap 1)
Copying Linux from SD to RAM...
4474072 bytes read in 269 ms (15.9 MiB/s)
 **Unable to read file zynq-ebaz.dtb
Copying Linux from SD to RAM...
4474072 bytes read in 263 ms (16.2 MiB/s)
14305 bytes read in 13 ms (1 MiB/s)
5941934 bytes read in 353 ms (16.1 MiB/s)
## Booting kernel from Legacy Image at 02080000 ...
   Image Name:   Linux-4.14.108-xilinx
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    4474008 Bytes = 4.3 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Loading init Ramdisk from Legacy Image at 04000000 ...
   Image Name:   Ramdisk
   Image Type:   ARM Linux RAMDisk Image (gzip compressed)
   Data Size:    5941870 Bytes = 5.7 MiB
   Load Address: 00000000
   Entry Point:  00000000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Ramdisk to 0e56c000, end 0eb16a6e ... OK
   Loading Device Tree to 0e565000, end 0e56b7e0 ... OK

Starting kernel ...

Uncompressing Linux... done, booting the kernel.
Booting Linux on physical CPU 0x0
Linux version 4.14.108-xilinx (android@Centos) (gcc version 6.4.1 20171012 (Linaro GCC 6.4-2017.11)) #4 SMP PREEMPT Sun Mar 31 08:49:02 CST 209
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt: Machine model: Zynq ebit board
bootconsole [earlycon0] enabled
earlycon: cdns0 at MMIO 0xe0001000 (options '115200n8')
Booting Linux on physical CPU 0x0
Linux version 4.14.108-xilinx (android@Centos) (gcc version 6.4.1 20171012 (Linaro GCC 6.4-2017.11)) #4 SMP PREEMPT Sun Mar 31 08:49:02 CST 209
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt: Machine model: Zynq ebit board
bootconsole [earlycon0] enabled
earlycon: cdns0 at MMIO 0xe0001000 (options '115200n8')
bootconsole [cdns0] enabled
bootconsole [cdns0] enabled
Memory policy: Data cache writealloc
Memory policy: Data cache writealloc
cma: Reserved 16 MiB at 0x0f000000
cma: Reserved 16 MiB at 0x0f000000
On node 0 totalpages: 65536
On node 0 totalpages: 65536
free_area_init_node: node 0, pgdat c0b42a40, node_mem_map cedef000
free_area_init_node: node 0, pgdat c0b42a40, node_mem_map cedef000
  Normal zone: 512 pages used for memmap
  Normal zone: 512 pages used for memmap
  Normal zone: 0 pages reserved
  Normal zone: 0 pages reserved
  Normal zone: 65536 pages, LIFO batch:15
  Normal zone: 65536 pages, LIFO batch:15
percpu: Embedded 16 pages/cpu @cedc3000 s34572 r8192 d22772 u65536
percpu: Embedded 16 pages/cpu @cedc3000 s34572 r8192 d22772 u65536
pcpu-alloc: s34572 r8192 d22772 u65536 alloc=16*4096
pcpu-alloc: s34572 r8192 d22772 u65536 alloc=16*4096
pcpu-alloc: [0] 0 [0] 1 
pcpu-alloc: [0] 0 [0] 1 
Built 1 zonelists, mobility grouping on.  Total pages: 65024
Built 1 zonelists, mobility grouping on.  Total pages: 65024
Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk earlycon loglevel=8 rootfstype=ext4 rootwait
PID hash table entries: 1024 (order: 0, 4096 bytes)
PID hash table entries: 1024 (order: 0, 4096 bytes)
Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
Dentry cache hash table entries: 32768 (order: 5, 131072 bytes)
Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
Inode-cache hash table entries: 16384 (order: 4, 65536 bytes)
Memory: 226148K/262144K available (7168K kernel code, 269K rwdata, 1764K rodata, 1024K init, 285K bss, 19612K reserved, 16384K cma-reserved, 0)
Memory: 226148K/262144K available (7168K kernel code, 269K rwdata, 1764K rodata, 1024K init, 285K bss, 19612K reserved, 16384K cma-reserved, 0)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
    lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
    pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    modules : 0xbf000000 - 0xbfe00000   (  14 MB)
      .text : 0xc0008000 - 0xc0800000   (8160 kB)
      .init : 0xc0a00000 - 0xc0b00000   (1024 kB)
      .data : 0xc0b00000 - 0xc0b43640   ( 270 kB)
       .bss : 0xc0b43640 - 0xc0b8ad48   ( 286 kB)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000   (   4 kB)
    fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
    vmalloc : 0xd0800000 - 0xff800000   ( 752 MB)
    lowmem  : 0xc0000000 - 0xd0000000   ( 256 MB)
    pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
    modules : 0xbf000000 - 0xbfe00000   (  14 MB)
      .text : 0xc0008000 - 0xc0800000   (8160 kB)
      .init : 0xc0a00000 - 0xc0b00000   (1024 kB)
      .data : 0xc0b00000 - 0xc0b43640   ( 270 kB)
       .bss : 0xc0b43640 - 0xc0b8ad48   ( 286 kB)
Preemptible hierarchical RCU implementation.
Preemptible hierarchical RCU implementation.
        RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
        RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
        Tasks RCU enabled.
        Tasks RCU enabled.
RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
efuse mapped to d0800000
efuse mapped to d0800000
slcr mapped to d0802000
slcr mapped to d0802000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init: clkc starts at d0802100
zynq_clock_init: clkc starts at d0802100
Zynq clock init
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
Switching to timer-based delay loop, resolution 3ns
Switching to timer-based delay loop, resolution 3ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 at d080a000, irq=17
timer #0 at d080a000, irq=17
Console: colour dummy device 80x30
Console: colour dummy device 80x30
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333333)
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333333)
pid_max: default: 32768 minimum: 301
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
CPU: Testing write buffer coherency: ok
CPU: Testing write buffer coherency: ok
CPU0: Spectre v2: using BPIALL workaround
CPU0: Spectre v2: using BPIALL workaround
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x100000 - 0x100060
Setting up static identity map for 0x100000 - 0x100060
Hierarchical SRCU implementation.
Hierarchical SRCU implementation.
smp: Bringing up secondary CPUs ...
smp: Bringing up secondary CPUs ...
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
CPU1: Spectre v2: using BPIALL workaround
CPU1: Spectre v2: using BPIALL workaround
smp: Brought up 1 node, 2 CPUs
smp: Brought up 1 node, 2 CPUs
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
devtmpfs: initialized
random: get_random_u32 called from bucket_table_alloc+0x1e0/0x220 with crng_init=0
random: get_random_u32 called from bucket_table_alloc+0x1e0/0x220 with crng_init=0
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
futex hash table entries: 512 (order: 3, 32768 bytes)
futex hash table entries: 512 (order: 3, 32768 bytes)
pinctrl core: initialized pinctrl subsystem
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
DMA: preallocated 256 KiB pool for atomic coherent allocations
cpuidle: using governor menu
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0840000
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xd0840000
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 27, base_baud = 6249999) is a xuartps
e0000000.serial: ttyPS1 at MMIO 0xe0000000 (irq = 27, base_baud = 6249999) is a xuartps
e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 28, base_baud = 6249999) is a xuartps
`k˽[ttyPS0] enabledat MMIO 0xe0001000 (irq = 28, base_baud = 6249999) is a xuartps
console [ttyPS0] enabled
console [ttyPS0] enabled
bootconsole [earlycon0] disabled
bootconsole [earlycon0] disabled
bootconsole [earlycon0] disabled
bootconsole [cdns0] disabled
bootconsole [cdns0] disabled
XGpio: /amba_pl/gpio@41200000: registered, base is 1022
XGpio: /amba_pl/gpio@41210000: registered, base is 1017
vgaarb: loaded
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
media: Linux media interface: v0.10
Linux video capture interface: v2.00
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
EDAC MC: Ver: 3.0.0
FPGA manager framework
fpga-region fpga-full: FPGA Region probed
Advanced Linux Sound Architecture Driver Initialized.
NET: Registered protocol family 8
NET: Registered protocol family 20
nfc: nfc_init: NFC Core ver 0.1
NET: Registered protocol family 39
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
TCP established hash table entries: 2048 (order: 1, 8192 bytes)
TCP bind hash table entries: 2048 (order: 2, 16384 bytes)
TCP: Hash tables configured (established 2048 bind 2048)
UDP hash table entries: 256 (order: 1, 8192 bytes)
UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
PCI: CLS 0 bytes, default 64
Trying to unpack rootfs image as initramfs...
rootfs image is not initramfs (no cpio magic); looks like an initrd
Freeing initrd memory: 5804K
hw perfevents: no interrupt-affinity property for /pmu@f8891000, guessing.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
workingset: timestamp_bits=30 max_order=16 bucket_order=0
jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
io scheduler mq-deadline registered
io scheduler kyber registered
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac:        DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
42c00000.serial: ttyUL2 at MMIO 0x42c00000 (irq = 56, base_baud = 0) is a uartlite
brd: module loaded
loop: module loaded
libphy: Fixed MDIO Bus: probed
CAN device driver interface
libphy: MACB_mii_bus: probed
macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 32 (52:bf:a2:a5:ff:1d)
ICPlus IP101A/G e000b000.ethernet-ffffffff:00: attached PHY driver [ICPlus IP101A/G] (mii_bus:phy_addr=e000b000.ethernet-ffffffff:00, irq=POLL)
macb e000c000.ethernet: invalid hw address, using random
libphy: MACB_mii_bus: probed
macb e000c000.ethernet eth1: Cadence GEM rev 0x00020118 at 0xe000c000 irq 33 (c2:bb:33:9c:93:57)
Generic PHY e000c000.ethernet-ffffffff:01: attached PHY driver [Generic PHY] (mii_bus:phy_addr=e000c000.ethernet-ffffffff:01, irq=POLL)
e1000e: Intel(R) PRO/1000 Network Driver - 3.2.6-k
e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
i2c /dev entries driver
cdns-i2c e0004000.i2c: 400 kHz mmio e0004000 irq 23
cdns-i2c e0005000.i2c: 400 kHz mmio e0005000 irq 24
cdns-wdt f8005000.watchdog: Xilinx Watchdog Timer at d090c000 with timeout 10s
EDAC MC: ECC not enabled
Xilinx Zynq CpuIdle Driver started
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
mmc0: SDHCI controller on e0100000.mmc [e0100000.mmc] using ADMA
ledtrig-cpu: registered to indicate activity on CPUs
usbcore: registered new interface driver usbhid
usbhid: USB HID core driver
FPGA DOWNLOAD --->
FPGA image file name: xlinx_fpga_firmware.bit
GPIO INIT FAIL!!
nand: device found, Manufacturer ID: 0xef, Chip ID: 0xf1
nand: Winbond W29N01HV
nand: 128 MiB, SLC, erase size: 128 KiB, page size: 2048, OOB size: 64
Bad block table found at page 65472, version 0x01
Bad block table found at page 65408, version 0x01
nand_read_bbt: bad block at 0x000007f60000
nand_read_bbt: bad block at 0x000007f80000
nand_read_bbt: bad block at 0x000007fa0000
nand_read_bbt: bad block at 0x000007fc0000
9 ofpart partitions found on MTD device pl35x-nand
Creating 9 MTD partitions on "pl35x-nand":
0x000000000000-0x000000300000 : "nand-fsbl-uboot"
0x000000300000-0x000000800000 : "nand-linux"
0x000000800000-0x000000820000 : "nand-device-tree"
0x000000820000-0x000001220000 : "nand-rootfs"
0x000001220000-0x000002220000 : "nand-jffs2"
mmc0: new high speed SDHC card at address aaaa
0x000002220000-0x000002a20000 : "nand-bitstream"
mmcblk0: mmc0:aaaa SL16G 14.8 GiB 
0x000002a20000-0x000006a20000 : "nand-allrootfs"
0x000006a20000-0x000007e00000 : "nand-release"
 mmcblk0: p1 p2
0x000007e00000-0x000008000000 : "nand-reserve"
fpga_manager fpga0: Xilinx Zynq FPGA Manager registered
Netfilter messages via NETLINK v0.30.
nf_conntrack version 0.5.0 (4096 buckets, 16384 max)
nf_tables: (c) 2007-2009 Patrick McHardy <kaber@trash.net>
ip_set: protocol 6
ipip: IPv4 and MPLS over IPv4 tunneling driver
gre: GRE over IPv4 demultiplexor driver
ip_gre: GRE over IPv4 tunneling driver
IPv4 over IPsec tunneling driver
Initializing XFRM netlink socket
NET: Registered protocol family 10
Segment Routing with IPv6
sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
NET: Registered protocol family 17
Bridge firewalling registered
Ebtables v2.0 registered
can: controller area network core (rev 20170425 abi 9)
NET: Registered protocol family 29
can: raw protocol (rev 20170425)
can: broadcast manager protocol (rev 20170425 t)
can: netlink gateway (rev 20170425) max_hops=1
l2tp_core: L2TP core driver, V2.0
8021q: 802.1Q VLAN Support v1.8
Registering SWP/SWPB emulation handler
input: gpio-keys as /devices/soc0/gpio-keys/input/input0
hctosys: unable to open rtc device (rtc0)
of_cfs_init
of_cfs_init: OK
ALSA device list:
  No soundcards found.
RAMDISK: gzip image found at block 0
EXT4-fs (ram0): mounted filesystem without journal. Opts: (null)
VFS: Mounted root (ext4 filesystem) on device 1:0.
Starting rcS...
++ Mounting filesystem
++ Setting up mdev
random: fast init done
EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
++ Starting telnet daemon
++ Starting http daemon
++ Starting ftp daemon
++ Starting ssh daemon
random: sshd: uninitialized urandom read (32 bytes read)
++ Try to start led-play daemon
export_store: invalid GPIO 110
sh: write error: Invalid argument
Dip Switch check failed, skip led_play ...
rcS Complete
Z-turn# 

请问按照上述方法进行没效果怎么解决呀,谢谢!!!

离线

#170 2020-05-23 21:39:04

zaqwsxmxd200
会员
注册时间: 2020-05-23
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

楼上都是大佬,跟着成功跑通了。继续观摩学习

离线

#171 2020-05-23 23:48:14

zaqwsxmxd200
会员
注册时间: 2020-05-23
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我的板子也显示这个 FAILED  请问有解决办法了吗

离线

#172 2020-05-26 16:40:38

beijingjiufang
会员
注册时间: 2020-05-26
已发帖子: 7
积分: 7

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

zynq可以两个核跑不同的系统,可以一个linux一个单c。可以灵活处理

离线

#173 2020-05-26 21:31:11

lwf
会员
注册时间: 2020-05-26
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

有没有这块矿板的设备树参考下,自己瞎修改的有点问题。

U-Boot 2018.01 (May 26 2020 - 12:22:11 +0000) Xilinx Zynq ZC702

Model: Navigator Development Board
Board: Xilinx Zynq
Silicon: v3.1
DRAM:  ECC disabled 512 MiB
NAND:  128 MiB
MMC:   mmc@e0100000: 0 (SD)
*** Warning - bad CRC, using default environment

## Error: flags type check failure for "serverip" <= "AUTO" (type: i)
himport_r: can't insert "serverip=AUTO" into hash table
In:    serial@e0001000
Out:   serial@e0001000
Err:   serial@e0001000
Model: Navigator Development Board
Board: Xilinx Zynq
Silicon: v3.1
Net:   ZYNQ GEM: e000b000, phyaddr ffffffff, interface gmii
Could not get PHY for eth0: addr -1
No ethernet found.
U-BOOT for zynq_linux

ZYNQ GEM: e000b000, phyaddr ffffffff, interface gmii
mdio_register: non unique device name 'eth0'
ZYNQ GEM: e000b000, phyaddr ffffffff, interface gmii
mdio_register: non unique device name 'eth0'
ZYNQ GEM: e000b000, phyaddr ffffffff, interface gmii
mdio_register: non unique device name 'eth0'
ZYNQ GEM: e000b000, phyaddr ffffffff, interface gmii
mdio_register: non unique device name 'eth0'
No ethernet found.
ZYNQ GEM: e000b000, phyaddr ffffffff, interface gmii
mdio_register: non unique device name 'eth0'
Hit any key to stop autoboot:  0 
Device: mmc@e0100000
Manufacturer ID: 1b
OEM: 534d
Name: 00000 
Tran Speed: 50000000
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 29.8 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
** Unable to read file image.ub **
Zynq>

离线

#174 2020-05-26 21:56:45

哇酷小二
wechat微信:whycan_cn
所在地: 你猜
注册时间: 2020-04-22
已发帖子: 3,378
积分: 1902
个人网站

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

Unable to read file image.ub

没找到 image.ub 这个文件.





离线

#175 2020-05-31 16:15:22

niky
会员
注册时间: 2020-04-24
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

s9 控制板原理图 1000m以太网 otg接口2.5v电平引出可接usb3320 1g32位内存 128mb nand 有启动跳线,直接插短路帽,不用改电阻AntMiner_ControlBoard_XC7010_V1_01控制板.pdf

离线

#176 2020-06-01 18:27:33

1847123212
会员
注册时间: 2019-11-21
已发帖子: 66
积分: 42

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

现在新出的新矿渣,1000兆网,512内存。没人上车么?

离线

#177 2020-06-01 22:08:44

hzsteven
会员
注册时间: 2019-11-04
已发帖子: 40
积分: 0

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

1847123212 说:

现在新出的新矿渣,1000兆网,512内存。没人上车么?

链接呢?

离线

#178 2020-06-02 09:43:48

twig
会员
注册时间: 2020-01-06
已发帖子: 3
积分: 3

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

也收块玩玩。

离线

#179 2020-06-02 15:08:28

KingChen
会员
注册时间: 2020-04-18
已发帖子: 3
积分: 3

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

真不错。之前购买一个,板子还在吃灰

离线

#180 2020-06-05 16:21:20

jcwangzi
会员
注册时间: 2020-05-05
已发帖子: 7
积分: 7

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

剁手ebaz4205矿板接OV2640摄像头代码开发中

离线

#181 2020-06-06 19:20:02

1847123212
会员
注册时间: 2019-11-21
已发帖子: 66
积分: 42

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

hzsteven 说:

链接呢?

只能咸鱼自己找了。大概这个样子的。

新车图片

离线

#182 2020-06-08 20:29:49

terry_tu
会员
注册时间: 2020-06-08
已发帖子: 7
积分: 7

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

https://whycan.cn/files/members/1661/DigilentFTDIConfig.7z
USB cable插入电脑没反应,可以用这个吗?

离线

#183 2020-06-09 17:10:46

lzr325
会员
注册时间: 2020-02-29
已发帖子: 5
积分: 5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我也跟着来了一块,准备和跟着大佬学习

离线

#184 2020-06-09 22:33:55

xiaodianlu210
会员
注册时间: 2020-06-09
已发帖子: 6
积分: 6

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

看起来不错,板子品质好像一般

离线

#185 2020-06-15 22:54:57

ubuntufull
会员
注册时间: 2020-06-15
已发帖子: 11
积分: 56

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

资料很丰富,厉害,紧跟着大佬步伐。。

离线

#186 2020-07-08 15:24:11

zww
会员
注册时间: 2020-02-24
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

我也在玩这个,主要是拿来当fpga学习板

离线

#187 2020-07-12 19:14:02

zqaizh
会员
注册时间: 2020-07-12
已发帖子: 1
积分: 1

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

哈哈,我也入坑了,感谢大佬们的帖子和留言,每行都看了!

离线

#188 2020-07-13 14:47:41

ldl212
会员
注册时间: 2018-04-16
已发帖子: 19
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

厉害 都是大神,精力有限玩不了

离线

#189 2020-07-18 19:39:54

lgis163
会员
注册时间: 2020-07-18
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

不知道这个板子该怎么玩,有没有哪位大佬出个教程啥的?

离线

#190 2020-07-21 15:41:02

finelei2002
会员
注册时间: 2020-07-21
已发帖子: 3
积分: 3

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

有矿机的程序吗

离线

#191 2020-07-22 11:44:34

lyd19980505
会员
注册时间: 2020-07-22
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

请问楼主按EABZ4205,这块板子上是SD卡还是TF卡,因为看到有的人说是SD卡卡槽~谢谢

离线

#192 2020-07-26 20:15:06

JasonWood
会员
注册时间: 2020-07-26
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

楼主有一块板子似乎维修过,板子背面有拆焊痕迹!不过要求也不能太高,能用就行!!

离线

#193 2020-07-26 20:29:57

JasonWood
会员
注册时间: 2020-07-26
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

在某鱼入手了一块EBAZ4205,准备认真的学习一下FPGA和Linux系统编程,正发愁找不到相关资料,偶然间来到WhyCan Forum,真就可以用“山穷水尽疑无路,柳暗花明又一村”来形容了!

离线

#194 2020-07-26 20:43:58

JasonWood
会员
注册时间: 2020-07-26
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

这块EBAZ4205挖矿机控制板虽说已沦为矿渣,但是可玩性很高,ARM与FPGA都能玩,最重要的是价格还十分便宜,在大神手中更是变废为宝,化腐朽为神奇了!

离线

#195 2020-07-26 23:11:23

MyCuphead
会员
注册时间: 2020-07-25
已发帖子: 14
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

lyd19980505 说:

请问楼主按EABZ4205,这块板子上是SD卡还是TF卡,因为看到有的人说是SD卡卡槽~谢谢

有啥区别吗……难道你指的是大的卡还是小卡?板子上是小的卡,也就是tf卡。现在除了小部分设备,大部分都是小的tf(MicroSD)卡了

离线

#196 2020-07-27 10:29:39

Tony
Moderator
注册时间: 2019-05-31
已发帖子: 162
积分: 159.5
个人网站

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

来晚了,没了

离线

#197 2020-08-02 13:20:10

孙凌星
会员
注册时间: 2020-08-02
已发帖子: 4
积分: 4

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

下载器只能用FT232HL的吗,怎么感觉成本比板子还贵

离线

#198 2020-08-03 12:41:51

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

DigilentFTDIConfig.rar
淘宝买个ft232h模块,用这个配置一下就行

离线

#199 2020-08-03 12:44:49

rick lou
会员
所在地: 中国北京海淀
注册时间: 2019-09-12
已发帖子: 202
积分: 190.5

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

s9控制板闲鱼都38包邮了,
https://market.m.taobao.com/app/idleFis … rceFlush=1 内存1g,1000m电口,sd卡焊好,ps网,256mnand,58plio,启动有跳线帽

最近编辑记录 rick lou (2020-08-03 12:45:28)

离线

#200 2020-08-03 16:24:32

upoupil
会员
注册时间: 2020-08-03
已发帖子: 2
积分: 2

Re: 捡了个便宜的高级ZYNQ XC7Z010 开发板玩玩

多数民众赞成在非常有趣的....也低成本,我想购买它玩。但我仍然没有对其进行编程。

离线

页脚

工信部备案:粤ICP备20025096号 Powered by FluxBB

感谢为中文互联网持续输出优质内容的各位老铁们。 QQ: 516333132, 微信(wechat): whycan_cn (哇酷网/挖坑网/填坑网) service@whycan.cn